OpenCores
URL https://opencores.org/ocsvn/ahb_master/ahb_master/trunk

Subversion Repositories ahb_master

[/] [ahb_master/] [trunk/] [src/] [base/] [def_axi2ahb_static.txt] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eyalhoc
 
2 7 eyalhoc
SWAP MODEL_NAME AXI2AHB bridge
3
 
4 2 eyalhoc
VERIFY ((DATA_BITS==32) || (DATA_BITS==64))
5
 
6
GROUP AXI_A is {
7
    ID       ID_BITS                input
8
    ADDR     ADDR_BITS              input
9
    LEN      4                      input
10
    SIZE     2                      input
11
    VALID    1                      input
12
    READY    1                      output
13
}
14
 
15
GROUP AXI_W is {
16
    ID        ID_BITS                input
17
    DATA      DATA_BITS              input
18
    STRB      DATA_BITS/8            input
19
    LAST      1                      input
20
    VALID     1                      input
21
    READY     1                      output
22
}
23
 
24
GROUP AXI_B is {
25
    ID        ID_BITS                output
26
    RESP      2                      output
27
    VALID     1                      output
28
    READY     1                      input
29
}
30
 
31
GROUP AXI_R is {
32
    ID        ID_BITS                output
33
    DATA      DATA_BITS              output
34
    RESP      2                      output
35
    LAST      1                      output
36
    VALID     1                      output
37
    READY     1                      input
38
}
39
 
40
GROUP AXI joins {
41
    GROUP AXI_A prefix_AW
42
    GROUP AXI_W prefix_W
43
    GROUP AXI_B prefix_B
44
    GROUP AXI_A prefix_AR
45
    GROUP AXI_R prefix_R
46
}
47
 
48
 
49
GROUP AHB is {
50
  HADDR   ADDR_BITS   input
51
  HBURST  3           input
52
  HSIZE   2           input
53
  HTRANS  2           input
54
  HWRITE  1           input
55
  HWDATA  DATA_BITS   input
56
  HRDATA  DATA_BITS   output
57
  HREADY  1           output
58
  HRESP   1           output
59
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.