OpenCores
URL https://opencores.org/ocsvn/ahb_slave/ahb_slave/trunk

Subversion Repositories ahb_slave

[/] [ahb_slave/] [trunk/] [src/] [base/] [ahb_slave_ram.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eyalhoc
 
2
OUTFILE PREFIX_ram.v
3
 
4
INCLUDE def_ahb_slave.txt
5
 
6
CHECK CONST(#FFD)
7
CHECK CONST(PREFIX)
8
CHECK CONST(ADDR_BITS)
9
CHECK CONST(DATA_BITS)
10
 
11
module PREFIX_ram(PORTS);
12
 
13
   input                      clk;
14
   input                      reset;
15
 
16
   revport                    GROUP_STUB_AHB;
17
 
18
   port                       GROUP_STUB_MEM;
19
 
20
 
21
`include "prgen_rand.v"
22
 
23
 
24
   //---------------- config parameters ------------------------
25
   reg                        stall_enable  = 1;  //enable stall on HREADY
26
   integer                    burst_chance  = 1;  //chance for burst on HREADY stall
27
   integer                    burst_len     = 10; //length of stall burst in cycles
28
   integer                    burst_val     = 90; //chance for stall during burst
29
   integer                    stall_chance  = 10; //chance for stall
30
 
31
   reg [ADDR_BITS-1:0]         HRESP_addr = {ADDR_BITS{1'b1}};   //address for response error
32
   reg [ADDR_BITS-1:0]         TIMEOUT_addr = {ADDR_BITS{1'b1}}; //address for timeout response (no HREADY)
33
   //-----------------------------------------------------------
34
 
35
 
36
   integer                    burst_stall;
37
   integer                    stall_chance_valid;
38
 
39
   reg                        HRESP;
40
   reg                        timeout_stall;
41
 
42
   reg [1:0]                   HSIZE_d;
43
   wire                       WR_pre;
44
   wire [ADDR_BITS-1:0]       ADDR_WR_pre;
45
   reg                        WR;
46
   reg [ADDR_BITS-1:0]         ADDR_WR;
47
   reg                        data_phase;
48
 
49
   wire [7:0]                  BSEL_wide;
50
 
51
   reg                        STALL_pre;
52
   reg                        STALL;
53
 
54
 
55
   parameter                  TRANS_IDLE   = 2'b00;
56
   parameter                  TRANS_STALL   = 2'b01;
57
   parameter                  TRANS_NONSEQ = 2'b10;
58
   parameter                  TRANS_SEQ    = 2'b11;
59
 
60
 
61
   task set_stall;
62
      begin
63
         stall_chance_valid = stall_chance;
64
      end
65
   endtask
66
 
67
   initial
68
     begin
69
        #FFD;
70
        set_stall;
71
 
72
        if (burst_chance > 0)
73
          forever
74
            begin
75
               burst_stall = rand_chance(burst_chance);
76
 
77
               if (burst_stall)
78
                 begin
79
                    #FFD;
80
                    stall_chance_valid = burst_val;
81
                    repeat (burst_len) @(posedge clk);
82
                    set_stall;
83
                 end
84
               else
85
                 begin
86
                    @(posedge clk);
87
                 end
88
            end
89
     end
90
 
91
 
92
   always @(posedge clk)
93
       begin
94
          #FFD;
95
          STALL_pre = rand_chance(stall_chance_valid);
96
       end
97
 
98
 
99
   always @(posedge clk or posedge reset)
100
     if (reset)
101
       STALL <= #FFD 1'b0;
102
     else if (stall_enable)
103
       STALL <= #FFD STALL_pre;
104
     else
105
       STALL <= #FFD 1'b0;
106
 
107
   always @(posedge clk or posedge reset)
108
     if (reset)
109
       timeout_stall <= #FFD 1'b0;
110
     else if ((|HTRANS) & (TIMEOUT_addr == HADDR))
111
       timeout_stall <= #FFD 1'b1;
112
     else if (TIMEOUT_addr == 0)
113
       timeout_stall <= #FFD 1'b0;
114
 
115
   always @(posedge clk or posedge reset)
116
     if (reset)
117
       HRESP <= #FFD 1'b0;
118
     else if ((|HTRANS) & (HRESP_addr == HADDR))
119
       HRESP <= #FFD 1'b1;
120
     else if (HREADY)
121
       HRESP <= #FFD 1'b0;
122
 
123
   always @(posedge clk or posedge reset)
124
     if (reset)
125
       data_phase <= #FFD 1'b0;
126
     else if (RD)
127
       data_phase <= #FFD 1'b1;
128
     else if (HREADY)
129
       data_phase <= #FFD 1'b0;
130
 
131
   assign                     HRDATA = HREADY & data_phase ? DOUT : 'd0;
132
   assign                     HREADY = HTRANS == TRANS_STALL ? 1'b0 : (~timeout_stall) & (~STALL);
133
 
134
 
135
   assign                     WR_pre      = HWRITE & ((HTRANS == TRANS_NONSEQ) | (HTRANS == TRANS_SEQ));
136
   assign                     RD          = (~HWRITE) & ((HTRANS == TRANS_NONSEQ) | (HTRANS == TRANS_SEQ)) & HREADY;
137
   assign                     ADDR_WR_pre = {ADDR_BITS{WR_pre}} & HADDR;
138
   assign                     ADDR_RD     = {ADDR_BITS{RD}} & HADDR;
139
   assign                     DIN         = HWDATA;
140
 
141
   IFDEF TRUE(DATA_BITS==32)
142
   assign                     BSEL        = ADDR_WR[2] ? BSEL_wide[7:4] : BSEL_wide[3:0];
143
   ELSE TRUE(DATA_BITS==32)
144
   assign                     BSEL        = BSEL_wide;
145
   ENDIF TRUE(DATA_BITS==32)
146
 
147
   assign                     BSEL_wide    =
148
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd0) ? 8'b0000_0001 :
149
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd1) ? 8'b0000_0010 :
150
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd2) ? 8'b0000_0100 :
151
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd3) ? 8'b0000_1000 :
152
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd4) ? 8'b0001_0000 :
153
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd5) ? 8'b0010_0000 :
154
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd6) ? 8'b0100_0000 :
155
                              (HSIZE_d == 2'b00) & (ADDR_WR[2:0] == 3'd7) ? 8'b1000_0000 :
156
 
157
                              (HSIZE_d == 2'b01) & (ADDR_WR[2:1] == 2'd0) ? 8'b0000_0011 :
158
                              (HSIZE_d == 2'b01) & (ADDR_WR[2:1] == 2'd1) ? 8'b0000_1100 :
159
                              (HSIZE_d == 2'b01) & (ADDR_WR[2:1] == 2'd2) ? 8'b0011_0000 :
160
                              (HSIZE_d == 2'b01) & (ADDR_WR[2:1] == 2'd3) ? 8'b1100_0000 :
161
 
162
                              (HSIZE_d == 2'b10) & (ADDR_WR[2] == 1'd0)   ? 8'b0000_1111 :
163
                              (HSIZE_d == 2'b10) & (ADDR_WR[2] == 1'd1)   ? 8'b1111_0000 :
164
 
165
                              8'b1111_1111;
166
 
167
 
168
   always @(posedge clk or posedge reset)
169
     if (reset)
170
       begin
171
          WR <= #FFD 1'b0;
172
          ADDR_WR <= #FFD {ADDR_BITS{1'b0}};
173
          HSIZE_d <= #FFD 2'b0;
174
       end
175
     else if (HREADY)
176
       begin
177
          WR <= #FFD WR_pre;
178
          ADDR_WR <= #FFD ADDR_WR_pre;
179
          HSIZE_d <= #FFD HSIZE;
180
       end
181
 
182
 
183
endmodule
184
 
185
 
186
 
187
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.