OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [component/] [work/] [tb_top/] [tb_top.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 uson
----------------------------------------------------------------------
2
-- Created by SmartDesign Sat Jun 02 22:52:43 2018
3
-- Version: v11.8 SP3 11.8.3.6
4
----------------------------------------------------------------------
5
 
6
----------------------------------------------------------------------
7
-- Libraries
8
----------------------------------------------------------------------
9
library ieee;
10
use ieee.std_logic_1164.all;
11
 
12
library proasic3;
13
use proasic3.all;
14
----------------------------------------------------------------------
15
-- tb_top entity declaration
16
----------------------------------------------------------------------
17
entity tb_top is
18
    -- Port list
19
    port(
20
        -- Outputs
21
        DATAOUT  : out std_logic_vector(31 downto 0);
22
        RESP_err : out std_logic_vector(1 downto 0);
23
        TX       : out std_logic;
24
        ahb_busy : out std_logic
25
        );
26
end tb_top;
27
----------------------------------------------------------------------
28
-- tb_top architecture body
29
----------------------------------------------------------------------
30
architecture RTL of tb_top is
31
----------------------------------------------------------------------
32
-- Component declarations
33
----------------------------------------------------------------------
34
-- tb_clk
35
component tb_clk
36
    -- Port list
37
    port(
38
        -- Outputs
39
        HCLK  : out std_logic;
40
        HRSTn : out std_logic
41
        );
42
end component;
43
-- top
44
component top
45
    -- Port list
46
    port(
47
        -- Inputs
48
        ADDR     : in  std_logic_vector(31 downto 0);
49
        DATAIN   : in  std_logic_vector(31 downto 0);
50
        HCLK     : in  std_logic;
51
        HRESETn  : in  std_logic;
52
        LREAD    : in  std_logic;
53
        LWRITE   : in  std_logic;
54
        -- Outputs
55
        DATAOUT  : out std_logic_vector(31 downto 0);
56
        RESP_err : out std_logic_vector(1 downto 0);
57
        TX       : out std_logic;
58
        ahb_busy : out std_logic
59
        );
60
end component;
61
----------------------------------------------------------------------
62
-- Signal declarations
63
----------------------------------------------------------------------
64
signal ahb_busy_net_0 : std_logic;
65
signal DATAOUT_net_0  : std_logic_vector(31 downto 0);
66
signal RESP_err_net_0 : std_logic_vector(1 downto 0);
67
signal tb_clk_0_HCLK  : std_logic;
68
signal tb_clk_0_HRSTn : std_logic;
69
signal TX_net_0       : std_logic;
70
signal ahb_busy_net_1 : std_logic;
71
signal DATAOUT_net_1  : std_logic_vector(31 downto 0);
72
signal RESP_err_net_1 : std_logic_vector(1 downto 0);
73
signal TX_net_1       : std_logic;
74
----------------------------------------------------------------------
75
-- TiedOff Signals
76
----------------------------------------------------------------------
77
signal GND_net        : std_logic;
78
signal VCC_net        : std_logic;
79
signal ADDR_const_net_0: std_logic_vector(31 downto 0);
80
signal DATAIN_const_net_0: std_logic_vector(31 downto 0);
81
 
82
begin
83
----------------------------------------------------------------------
84
-- Constant assignments
85
----------------------------------------------------------------------
86
 GND_net            <= '0';
87
 VCC_net            <= '1';
88
 ADDR_const_net_0   <= B"00000000000000000000000000000000";
89
 DATAIN_const_net_0 <= B"00000000000000000000000010101010";
90
----------------------------------------------------------------------
91
-- Top level output port assignments
92
----------------------------------------------------------------------
93
 ahb_busy_net_1       <= ahb_busy_net_0;
94
 ahb_busy             <= ahb_busy_net_1;
95
 DATAOUT_net_1        <= DATAOUT_net_0;
96
 DATAOUT(31 downto 0) <= DATAOUT_net_1;
97
 RESP_err_net_1       <= RESP_err_net_0;
98
 RESP_err(1 downto 0) <= RESP_err_net_1;
99
 TX_net_1             <= TX_net_0;
100
 TX                   <= TX_net_1;
101
----------------------------------------------------------------------
102
-- Component instances
103
----------------------------------------------------------------------
104
-- tb_clk_0
105
tb_clk_0 : tb_clk
106
    port map(
107
        -- Outputs
108
        HCLK  => tb_clk_0_HCLK,
109
        HRSTn => tb_clk_0_HRSTn
110
        );
111
-- top_0
112
top_0 : top
113
    port map(
114
        -- Inputs
115
        HCLK     => tb_clk_0_HCLK,
116
        HRESETn  => tb_clk_0_HRSTn,
117
        LREAD    => GND_net,
118
        LWRITE   => VCC_net,
119
        ADDR     => ADDR_const_net_0,
120
        DATAIN   => DATAIN_const_net_0,
121
        -- Outputs
122
        ahb_busy => ahb_busy_net_0,
123
        DATAOUT  => DATAOUT_net_0,
124
        RESP_err => RESP_err_net_0,
125
        TX       => TX_net_0
126
        );
127
 
128
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.