1 |
3 |
uson |
-- Actel Corporation Proprietary and Confidential
|
2 |
|
|
-- Copyright 2008 Actel Corporation. All rights reserved.
|
3 |
|
|
-- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN
|
4 |
|
|
-- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED
|
5 |
|
|
-- IN ADVANCE IN WRITING.
|
6 |
|
|
-- Revision Information:
|
7 |
|
|
-- SVN Revision Information:
|
8 |
|
|
-- SVN $Revision: 6419 $
|
9 |
|
|
-- SVN $Date: 2009-02-04 04:34:22 -0800 (Wed, 04 Feb 2009) $
|
10 |
|
|
use STd.tEXTio.all;
|
11 |
|
|
library ieee;
|
12 |
|
|
use IEEe.STD_logIC_1164.all;
|
13 |
|
|
use ieee.nuMERic_sTD.all;
|
14 |
|
|
use woRK.top_CoreUARTapb_0_bfM_packAGE.all;
|
15 |
|
|
entity top_CoreUARTapb_0_BFM_AHBL is
|
16 |
|
|
generic (VECtfilE: sTRIng := "test.vec";
|
17 |
|
|
Max_iNSTrucTIOns: inteGER := 16384;
|
18 |
|
|
mAX_stacK: INTeger := 1024;
|
19 |
|
|
max_MEmteST: INtegeR := 65536;
|
20 |
|
|
tpD: inTEGEr range 0 to 1000 := 1;
|
21 |
|
|
DEbuglEVEl: INtegeR range -1 to 5 := -1;
|
22 |
|
|
arGVAlue0: intEGEr := 0;
|
23 |
|
|
ArgvaLUE1: INtegeR := 0;
|
24 |
|
|
aRGValue2: INtegeR := 0;
|
25 |
|
|
arGVAlue3: IntegER := 0;
|
26 |
|
|
arGVAlue4: INTeger := 0;
|
27 |
|
|
aRGValue5: INtegER := 0;
|
28 |
|
|
ARgvalUE6: iNTEger := 0;
|
29 |
|
|
ArgvaLUE7: inteGER := 0;
|
30 |
|
|
argvALUe8: iNTEger := 0;
|
31 |
|
|
argVALue9: iNTEger := 0;
|
32 |
|
|
ARgvalUE10: iNTEger := 0;
|
33 |
|
|
aRGValue11: INtegeR := 0;
|
34 |
|
|
ARGvaluE12: INtegeR := 0;
|
35 |
|
|
ArgvALUe13: intEGEr := 0;
|
36 |
|
|
aRGValue14: iNTEger := 0;
|
37 |
|
|
ARGvaluE15: intEGEr := 0;
|
38 |
|
|
ArgvALUE16: inTEGer := 0;
|
39 |
|
|
ARGvaluE17: iNTEger := 0;
|
40 |
|
|
ARgvaLUE18: inTEGer := 0;
|
41 |
|
|
ARGvalUE19: InteGER := 0;
|
42 |
|
|
aRGVAlue20: INtegeR := 0;
|
43 |
|
|
arGVAlue21: iNTEger := 0;
|
44 |
|
|
arGVAlue22: INtegER := 0;
|
45 |
|
|
ArgvaLUE23: INtegER := 0;
|
46 |
|
|
ArgvALUe24: INTeger := 0;
|
47 |
|
|
ARgvaLUE25: inTEGer := 0;
|
48 |
|
|
arGVAlue26: inteGER := 0;
|
49 |
|
|
aRGValuE27: IntegER := 0;
|
50 |
|
|
ARgvalUE28: IntegER := 0;
|
51 |
|
|
aRGValue29: iNTEger := 0;
|
52 |
|
|
argVALue30: InteGER := 0;
|
53 |
|
|
ARgvalUE31: INtegeR := 0;
|
54 |
|
|
aRGValue32: inTEGer := 0;
|
55 |
|
|
ARgvalUE33: intEGEr := 0;
|
56 |
|
|
arGVAlue34: INtegeR := 0;
|
57 |
|
|
ArgvaLUE35: intEGEr := 0;
|
58 |
|
|
argvALUe36: inteGER := 0;
|
59 |
|
|
arGVAlue37: intEGEr := 0;
|
60 |
|
|
ArgvaLUE38: intEGEr := 0;
|
61 |
|
|
aRGVAlue39: iNTEger := 0;
|
62 |
|
|
aRGVAlue40: IntegER := 0;
|
63 |
|
|
ARGvaluE41: intEGEr := 0;
|
64 |
|
|
ARgvaLUE42: inTEGer := 0;
|
65 |
|
|
arGVAlue43: INTeger := 0;
|
66 |
|
|
ARGvalUE44: INtegeR := 0;
|
67 |
|
|
arGVAlue45: inTEGEr := 0;
|
68 |
|
|
ARGvaluE46: iNTEGer := 0;
|
69 |
|
|
aRGValue47: inteGER := 0;
|
70 |
|
|
argvALUe48: INtegeR := 0;
|
71 |
|
|
ArgvALUE49: inTEGer := 0;
|
72 |
|
|
ArgvaLUE50: InteGER := 0;
|
73 |
|
|
ArgvaLUE51: IntegER := 0;
|
74 |
|
|
ArgvaLUE52: IntegER := 0;
|
75 |
|
|
aRGValuE53: iNTEger := 0;
|
76 |
|
|
argvALUe54: inTEGer := 0;
|
77 |
|
|
ARGvalUE55: INTeger := 0;
|
78 |
|
|
ARGvaluE56: InteGER := 0;
|
79 |
|
|
argVALue57: INTeger := 0;
|
80 |
|
|
aRGValue58: inteGER := 0;
|
81 |
|
|
ARGValuE59: INtegeR := 0;
|
82 |
|
|
aRGValue60: INTEger := 0;
|
83 |
|
|
ARgvalUE61: inteGER := 0;
|
84 |
|
|
ARGvalUE62: INTeger := 0;
|
85 |
|
|
ARgvaLUE63: IntegER := 0;
|
86 |
|
|
argVALue64: IntegER := 0;
|
87 |
|
|
ARgvaLUE65: inTEGer := 0;
|
88 |
|
|
argvALUe66: iNTEger := 0;
|
89 |
|
|
ArgvALUE67: inTEGer := 0;
|
90 |
|
|
argvALUe68: INtegeR := 0;
|
91 |
|
|
arGVAlue69: INTeger := 0;
|
92 |
|
|
ArgvaLUE70: INTeger := 0;
|
93 |
|
|
ARgvalUE71: iNTEger := 0;
|
94 |
|
|
argvALUe72: INTeger := 0;
|
95 |
|
|
ARGvalUE73: intEGEr := 0;
|
96 |
|
|
ArgvaLUE74: iNTEger := 0;
|
97 |
|
|
argVALue75: intEGEr := 0;
|
98 |
|
|
argVALue76: INTeger := 0;
|
99 |
|
|
argVALue77: inTEGEr := 0;
|
100 |
|
|
ARgvalUE78: iNTEger := 0;
|
101 |
|
|
ARgvalUE79: INtegeR := 0;
|
102 |
|
|
ARGvalUE80: iNTEger := 0;
|
103 |
|
|
ArgvaLUE81: iNTEger := 0;
|
104 |
|
|
argVALue82: inteGER := 0;
|
105 |
|
|
ARGvalUE83: intEGEr := 0;
|
106 |
|
|
argvALUe84: intEGEr := 0;
|
107 |
|
|
ArgvaLUE85: INTEger := 0;
|
108 |
|
|
ARgvalUE86: INtegeR := 0;
|
109 |
|
|
argvALUe87: INtegeR := 0;
|
110 |
|
|
aRGValue88: intEGEr := 0;
|
111 |
|
|
ArgvALUE89: INTeger := 0;
|
112 |
|
|
argvALUe90: intEGEr := 0;
|
113 |
|
|
argVALue91: INtegeR := 0;
|
114 |
|
|
ARGvalUE92: INtegeR := 0;
|
115 |
|
|
argvALUe93: inteGER := 0;
|
116 |
|
|
arGVAlue94: inTEGer := 0;
|
117 |
|
|
argVALue95: inteGER := 0;
|
118 |
|
|
ARGvaluE96: INtegeR := 0;
|
119 |
|
|
argvALUe97: INtegeR := 0;
|
120 |
|
|
ARGvaluE98: InteGER := 0;
|
121 |
|
|
aRGValuE99: inteGER := 0); port (sySCLk: in std_LOgic;
|
122 |
|
|
SysrsTN: in sTD_logIC;
|
123 |
|
|
Haddr: out stD_logiC_VectOR(31 downto 0);
|
124 |
|
|
hCLK: out STD_logIC;
|
125 |
|
|
HRESetn: out STD_logIC;
|
126 |
|
|
HbursT: out std_LOgic_VEctoR(2 downto 0);
|
127 |
|
|
HmastLOCk: out Std_lOGIc;
|
128 |
|
|
HProt: out STD_loGIC_veCTOr(3 downto 0);
|
129 |
|
|
hsiZE: out STD_loGIC_veCTOr(2 downto 0);
|
130 |
|
|
htRANs: out STd_loGIC_veCTOr(1 downto 0);
|
131 |
|
|
HWRite: out STD_logIC;
|
132 |
|
|
HwdatA: out std_LOGic_VECtor(31 downto 0);
|
133 |
|
|
hRDAta: in std_LOgic_VEctoR(31 downto 0);
|
134 |
|
|
HReady: in STD_logIC;
|
135 |
|
|
hRESp: in Std_lOGIc;
|
136 |
|
|
hsel: out Std_LOGic_vECTor(15 downto 0);
|
137 |
|
|
InterRUPt: in std_LOgic_VEctoR(255 downto 0);
|
138 |
|
|
gP_Out: out STD_logIC_vecTOr(31 downto 0);
|
139 |
|
|
gp_IN: in STd_lOGIC_veCTor(31 downto 0);
|
140 |
|
|
EXT_wr: out Std_lOGIc;
|
141 |
|
|
EXt_rd: out Std_lOGIc;
|
142 |
|
|
exT_addr: out STd_loGIC_vecTOr(31 downto 0);
|
143 |
|
|
ext_DAta: inout sTD_logIC_vecTOR(31 downto 0);
|
144 |
|
|
ext_Wait: in STD_logIC;
|
145 |
|
|
FINisheD: out std_LOGic;
|
146 |
|
|
FAiled: out stD_LogiC);
|
147 |
|
|
end top_CoreUARTapb_0_BFM_AHBL;
|
148 |
|
|
|
149 |
|
|
architecture BFMA1I10i of top_CoreUARTapb_0_BFM_AHBL is
|
150 |
|
|
|
151 |
|
|
signal BFMA1OO1ol: STD_logIC := '0';
|
152 |
|
|
|
153 |
|
|
signal inSTR_in: Std_lOGIc_veCTOr(31 downto 0) := ( others => '0');
|
154 |
|
|
|
155 |
|
|
signal con_Addr: Std_lOGIc_vECTor(15 downto 0) := ( others => '0');
|
156 |
|
|
|
157 |
|
|
signal Con_DATa: stD_LogiC_VectOR(31 downto 0) := ( others => 'Z');
|
158 |
|
|
|
159 |
|
|
begin
|
160 |
|
|
BFMA1LO1ol: top_CoreUARTapb_0_BFM_MAIN
|
161 |
|
|
generic map (opmODE => 0,
|
162 |
|
|
cON_spuLSE => 0,
|
163 |
|
|
vECTfile => VectfILE,
|
164 |
|
|
Max_iNSTructIONs => max_INstrUCTionS,
|
165 |
|
|
Tpd => tPD,
|
166 |
|
|
max_STack => maX_StacK,
|
167 |
|
|
MAX_memTESt => maX_memtEST,
|
168 |
|
|
deBUGleveL => DebugLEVEl,
|
169 |
|
|
ARGvaluE0 => ArgvaLUE0,
|
170 |
|
|
ARGvalUE1 => ArgvaLUE1,
|
171 |
|
|
argVALue2 => ArgvaLUE2,
|
172 |
|
|
aRGValue3 => ArgvaLUE3,
|
173 |
|
|
ARgvaLUE4 => argVALue4,
|
174 |
|
|
argvALUe5 => argvALUe5,
|
175 |
|
|
arGVAlue6 => argvALUe6,
|
176 |
|
|
argVALue7 => ArgvaLUE7,
|
177 |
|
|
aRGValue8 => ArgvaLUE8,
|
178 |
|
|
ArgvALUe9 => ARgvalUE9,
|
179 |
|
|
ArgvaLUE10 => ARGvaluE10,
|
180 |
|
|
aRGValue11 => argvALUe11,
|
181 |
|
|
aRGValue12 => ArgvaLUE12,
|
182 |
|
|
aRGValue13 => ARgvaLUE13,
|
183 |
|
|
arGVAlue14 => ARgvalUE14,
|
184 |
|
|
arGVAlue15 => aRGValue15,
|
185 |
|
|
argVALue16 => ARGvaluE16,
|
186 |
|
|
ARgvalUE17 => ARGvaluE17,
|
187 |
|
|
arGVAlue18 => argVALue18,
|
188 |
|
|
aRGValue19 => ArgvALUe19,
|
189 |
|
|
ArgvaLUE20 => ARGvalUE20,
|
190 |
|
|
argvALUe21 => ARgvalUE21,
|
191 |
|
|
aRGVAlue22 => arGVAlue22,
|
192 |
|
|
aRGVAlue23 => ArgvaLUE23,
|
193 |
|
|
ArgvaLUE24 => ARGvaluE24,
|
194 |
|
|
argVALue25 => arGVAlue25,
|
195 |
|
|
aRGValue26 => ArgvaLUE26,
|
196 |
|
|
ARgvalUE27 => arGVAlue27,
|
197 |
|
|
aRGValue28 => aRGValuE28,
|
198 |
|
|
aRGValue29 => ARGValuE29,
|
199 |
|
|
ARgvalUE30 => ARgvalUE30,
|
200 |
|
|
arGVALue31 => ARGvaluE31,
|
201 |
|
|
aRGValue32 => ARgvalUE32,
|
202 |
|
|
ARgvaLUE33 => arGVAlue33,
|
203 |
|
|
argVALue34 => argvALUe34,
|
204 |
|
|
aRGValuE35 => aRGValue35,
|
205 |
|
|
ARGvaluE36 => ArgvaLUE36,
|
206 |
|
|
argVALue37 => ARGvaluE37,
|
207 |
|
|
arGVAlue38 => ArgvaLUE38,
|
208 |
|
|
argVALue39 => argVALue39,
|
209 |
|
|
argVALue40 => aRGValue40,
|
210 |
|
|
arGVAlue41 => ARGvaluE41,
|
211 |
|
|
ArgvALUE42 => aRGValuE42,
|
212 |
|
|
ArgvaLUE43 => aRGValue43,
|
213 |
|
|
ArgvaLUE44 => aRGVAlue44,
|
214 |
|
|
arGVAlue45 => argvALUe45,
|
215 |
|
|
argVALue46 => arGVAlue46,
|
216 |
|
|
argVALue47 => ARGvaluE47,
|
217 |
|
|
ARGvalUE48 => aRGValue48,
|
218 |
|
|
ARgvalUE49 => argvALUe49,
|
219 |
|
|
ARgvalUE50 => arGVAlue50,
|
220 |
|
|
arGVAlue51 => ARGvaluE51,
|
221 |
|
|
ArgvaLUE52 => aRGValue52,
|
222 |
|
|
aRGValue53 => ARgvalUE53,
|
223 |
|
|
aRGVAlue54 => arGVAlue54,
|
224 |
|
|
arGVAlue55 => arGVAlue55,
|
225 |
|
|
argvALUe56 => aRGValue56,
|
226 |
|
|
argvALUe57 => arGVALue57,
|
227 |
|
|
ARGvaluE58 => aRGValue58,
|
228 |
|
|
argvALUe59 => ARgvalUE59,
|
229 |
|
|
ARgvalUE60 => ArgvALUe60,
|
230 |
|
|
ARgvalUE61 => ArgvaLUE61,
|
231 |
|
|
arGVAlue62 => aRGVAlue62,
|
232 |
|
|
argVALue63 => ArgvaLUE63,
|
233 |
|
|
ArgvaLUE64 => arGVAlue64,
|
234 |
|
|
argvALUe65 => ArgvaLUE65,
|
235 |
|
|
ARgvalUE66 => argVALue66,
|
236 |
|
|
argVALue67 => argvALUe67,
|
237 |
|
|
ARGvalUE68 => ArgvaLUE68,
|
238 |
|
|
ArgvaLUE69 => ARgvaLUE69,
|
239 |
|
|
ARGvaluE70 => aRGVAlue70,
|
240 |
|
|
ARGvaluE71 => arGVAlue71,
|
241 |
|
|
aRGValue72 => argvALUe72,
|
242 |
|
|
ARGvalUE73 => aRGValue73,
|
243 |
|
|
aRGValuE74 => arGVAlue74,
|
244 |
|
|
ArgvaLUE75 => aRGValue75,
|
245 |
|
|
ArgvaLUE76 => aRGVAlue76,
|
246 |
|
|
ARGvaluE77 => aRGValue77,
|
247 |
|
|
aRGValue78 => argvALUe78,
|
248 |
|
|
ArgvaLUE79 => ARgvaLUE79,
|
249 |
|
|
argVALue80 => argVALue80,
|
250 |
|
|
ARgvalUE81 => ARGvalUE81,
|
251 |
|
|
argvALUe82 => ArgvaLUE82,
|
252 |
|
|
ArgvaLUE83 => ARgvalUE83,
|
253 |
|
|
argVALue84 => arGVAlue84,
|
254 |
|
|
ARgvalUE85 => arGVAlue85,
|
255 |
|
|
aRGValue86 => ARgvalUE86,
|
256 |
|
|
ARgvalUE87 => ARgvaLUE87,
|
257 |
|
|
argVALue88 => arGVAlue88,
|
258 |
|
|
ArgvaLUE89 => ArgvALUE89,
|
259 |
|
|
ArgvaLUE90 => aRGVAlue90,
|
260 |
|
|
aRGValue91 => aRGValue91,
|
261 |
|
|
arGVAlue92 => argVALue92,
|
262 |
|
|
argvALUe93 => argvALUe93,
|
263 |
|
|
ARGvalUE94 => argvALUe94,
|
264 |
|
|
ARGvaluE95 => ARGValuE95,
|
265 |
|
|
argVALue96 => argvALUe96,
|
266 |
|
|
argvALUe97 => ARGValuE97,
|
267 |
|
|
ArgvALUe98 => ARgvalUE98,
|
268 |
|
|
ARgvalUE99 => arGVAlue99)
|
269 |
|
|
port map (sYSClk => SYsclk,
|
270 |
|
|
SYSrstn => sySRStn,
|
271 |
|
|
HAddr => HADDr,
|
272 |
|
|
Hclk => Hclk,
|
273 |
|
|
PClk => open ,
|
274 |
|
|
HresETN => hreSETn,
|
275 |
|
|
HBurst => HBurst,
|
276 |
|
|
hmasTLOck => HmastLOCk,
|
277 |
|
|
hprOT => hproT,
|
278 |
|
|
hSIZe => HSIze,
|
279 |
|
|
HtranS => htrANS,
|
280 |
|
|
hwriTE => hwrITE,
|
281 |
|
|
HwdaTA => HWData,
|
282 |
|
|
HRdata => HRdata,
|
283 |
|
|
hreaDY => HREady,
|
284 |
|
|
hreSP => HResp,
|
285 |
|
|
hsel => hSEL,
|
286 |
|
|
INterRUPT => iNTErrupT,
|
287 |
|
|
GP_ouT => GP_out,
|
288 |
|
|
GP_in => gp_iN,
|
289 |
|
|
EXT_wr => ext_WR,
|
290 |
|
|
ext_RD => ext_RD,
|
291 |
|
|
Ext_aDDR => EXt_adDR,
|
292 |
|
|
ext_Data => EXT_datA,
|
293 |
|
|
Ext_wAIT => exT_wait,
|
294 |
|
|
con_Addr => COn_adDR,
|
295 |
|
|
con_DATa => CON_datA,
|
296 |
|
|
COn_rd => BFMA1OO1ol,
|
297 |
|
|
con_WR => BFMA1Oo1ol,
|
298 |
|
|
Con_BUSy => open ,
|
299 |
|
|
iNSTR_ouT => open ,
|
300 |
|
|
INStr_iN => iNSTr_iN,
|
301 |
|
|
FInishED => fINIshed,
|
302 |
|
|
FAiled => failED);
|
303 |
|
|
end BFMA1i10I;
|