OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [component/] [work/] [top/] [CoreUARTapb_0/] [rtl/] [vhdl/] [amba_bfm/] [bfm_ahblapb.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 uson
-- Actel Corporation Proprietary and Confidential
2
-- Copyright 2008 Actel Corporation.  All rights reserved.
3
-- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN 
4
-- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED 
5
-- IN ADVANCE IN WRITING.  
6
-- Revision Information:
7
-- SVN Revision Information:
8
-- SVN $Revision: 6419 $
9
-- SVN $Date: 2009-02-04 04:34:22 -0800 (Wed, 04 Feb 2009) $
10
use std.TEXtio.all;
11
library IEEe;
12
use iEEE.std_logiC_1164.all;
13
use ieeE.nUMEric_STd.all;
14
use wORK.top_CoreUARTapb_0_bfM_packAGE.all;
15
entity top_CoreUARTapb_0_BFM_AHBLApb is
16
generic (veCTFile: StrinG := "test.vec";
17
MAX_inSTRuctiONs: INTeger := 16384;
18
MAx_stACK: IntegER := 1024;
19
mAX_memTESt: intEGEr := 65536;
20
tPD: inTEGer range 0 to 1000 := 1;
21
deBUGleveL: IntegER range -1 to 5 := -1;
22
ARgvalUE0: intEGEr := 0;
23
argvALUe1: inteGER := 0;
24
ARgvalUE2: intEGEr := 0;
25
arGVALue3: INTeger := 0;
26
ARgvalUE4: INtegeR := 0;
27
argvALUe5: intEGEr := 0;
28
ArgvaLUE6: IntegER := 0;
29
arGVAlue7: iNTEger := 0;
30
argVALue8: integER := 0;
31
ArgvaLUE9: INtegeR := 0;
32
arGVAlue10: inteGER := 0;
33
ArgvaLUE11: inteGER := 0;
34
aRGValue12: INtegeR := 0;
35
aRGValue13: intEGEr := 0;
36
ARGvaluE14: inTEGEr := 0;
37
aRGValue15: iNTEger := 0;
38
argVALue16: IntegER := 0;
39
argvALUe17: IntegER := 0;
40
ARGvaluE18: iNTEger := 0;
41
ArgvaLUE19: intEGEr := 0;
42
ARgvalUE20: inTEGer := 0;
43
arGVAlue21: INtegeR := 0;
44
ArgvaLUE22: INtegeR := 0;
45
arGVAlue23: INtegeR := 0;
46
arGVAlue24: IntegER := 0;
47
ArgvaLUE25: inteGER := 0;
48
ArgvaLUE26: INtegeR := 0;
49
argVALue27: inTEGer := 0;
50
ARgvaLUE28: inteGER := 0;
51
ArgvALUe29: InteGER := 0;
52
ArgvaLUE30: INTeger := 0;
53
ArgvALUe31: INTegeR := 0;
54
argvALUe32: INTegeR := 0;
55
ArgvaLUE33: iNTEger := 0;
56
ArgvaLUE34: inteGER := 0;
57
aRGValue35: inteGER := 0;
58
argVALue36: iNTEger := 0;
59
ARGvaluE37: IntegER := 0;
60
arGVALue38: inTEGer := 0;
61
ARgvalUE39: intEGEr := 0;
62
ArgvALUe40: INTeger := 0;
63
ArgvALUe41: inTEGer := 0;
64
arGVAlue42: IntegER := 0;
65
ARGvaluE43: inTEGer := 0;
66
aRGValue44: inteGER := 0;
67
ARGvaluE45: INtegeR := 0;
68
ARgvalUE46: INtegeR := 0;
69
argVALue47: intEGEr := 0;
70
ArgvALUE48: INTEger := 0;
71
ARgvalUE49: INtegeR := 0;
72
aRGValuE50: INtegeR := 0;
73
ArgvALUe51: inteGER := 0;
74
ArgvALUE52: InteGER := 0;
75
argVALue53: inteGER := 0;
76
aRGValue54: INtegeR := 0;
77
ArgvaLUE55: INTeger := 0;
78
aRGValue56: intEGEr := 0;
79
arGVAlue57: intEGEr := 0;
80
aRGValue58: INTeger := 0;
81
ArgvaLUE59: inTEGEr := 0;
82
arGVAlue60: intEGEr := 0;
83
argvALUe61: INtegeR := 0;
84
aRGValue62: iNTEger := 0;
85
argvALUe63: iNTEger := 0;
86
aRGValue64: inTEGEr := 0;
87
aRGValue65: inteGER := 0;
88
ARGvaluE66: inteGER := 0;
89
ArgvALUe67: inteGER := 0;
90
argvALUe68: IntegER := 0;
91
argVALue69: INtegER := 0;
92
arGVAlue70: INTeger := 0;
93
ARGvaluE71: inTEGer := 0;
94
ARgvaLUE72: iNTEger := 0;
95
ARgvalUE73: inTEGer := 0;
96
aRGValue74: INtegeR := 0;
97
ArgvALUe75: inteGER := 0;
98
argVALue76: inteGER := 0;
99
argVALue77: INtegER := 0;
100
argVALue78: inteGER := 0;
101
ARgvalUE79: INtegER := 0;
102
ARGvaluE80: inTEGer := 0;
103
ARgvalUE81: iNTEger := 0;
104
aRGVAlue82: intEGEr := 0;
105
argvALUe83: inTEGer := 0;
106
arGVAlue84: INtegeR := 0;
107
ARGvaluE85: INtegER := 0;
108
arGVAlue86: IntegER := 0;
109
ArgvaLUE87: INtegeR := 0;
110
aRGValue88: INTeger := 0;
111
ARgvalUE89: iNTEger := 0;
112
argVALue90: INTeger := 0;
113
arGVAlue91: iNTEger := 0;
114
arGVAlue92: INTEger := 0;
115
argvALUe93: INtegeR := 0;
116
argvALUe94: inTEGer := 0;
117
ARGvaluE95: inTEGer := 0;
118
argvALUe96: INtegeR := 0;
119
ArgvaLUE97: iNTEger := 0;
120
ArgvaLUE98: inteGER := 0;
121
ARgvalUE99: inTEGer := 0); port (SYSclk: in std_LOGic;
122
sYSRStn: in std_LOgic;
123
hclk: out std_LOGic;
124
HREsetn: out std_LOGic;
125
HAddr: out std_LOGic_VECtor(31 downto 0);
126
hBURst: out sTD_logIC_veCTOr(2 downto 0);
127
hmASTlocK: out STd_loGIC;
128
hproT: out Std_lOGIC_veCTor(3 downto 0);
129
HSIze: out sTD_logIC_vecTOR(2 downto 0);
130
htraNS: out Std_lOGIc_vECTor(1 downto 0);
131
hwRITE: out STd_lOGIc;
132
HWdata: out STd_lOGIc_veCTOr(31 downto 0);
133
hrdaTA: in std_LOGic_VECtor(31 downto 0);
134
hreADYin: in STd_loGIC;
135
HREadyoUT: out STD_loGIC;
136
HRESp: in std_LOgic;
137
hsel: out std_LOGic_VECtor(15 downto 0);
138
pCLK: out std_LOGic;
139
PresETN: out sTD_logIC;
140
Paddr: out std_Logic_VEctoR(31 downto 0);
141
PEnablE: out Std_lOGIc;
142
pWRIte: out STD_logIC;
143
pwdaTA: out STd_loGIC_vecTOr(31 downto 0);
144
pRDATa: in std_LOGic_VECtor(31 downto 0);
145
preADY: in sTD_logiC;
146
PSlverR: in STD_logIC;
147
Psel: out stD_logiC_VectOR(15 downto 0);
148
intERRupt: in stD_logiC_VectOR(255 downto 0);
149
gp_Out: out STD_logIC_veCTOr(31 downto 0);
150
gp_IN: in sTD_logIC_vecTOR(31 downto 0);
151
ext_WR: out stD_logiC;
152
Ext_rD: out stD_logiC;
153
eXT_addR: out STd_loGIC_veCTOr(31 downto 0);
154
EXT_datA: inout STD_loGIC_veCTOr(31 downto 0);
155
EXt_waIT: in Std_LOGic;
156
FinisHED: out std_LOgic;
157
FAileD: out STd_loGIC);
158
end top_CoreUARTapb_0_BFM_AHBLaPB;
159
 
160
architecture BFMA1I10i of top_CoreUARTapb_0_BFM_AHBLAPb is
161
 
162
signal BFMA1lLOLl: stD_LogiC;
163
 
164
signal BFMA1ILOll: sTD_logiC;
165
 
166
signal BFMA1OIoll: STD_logIC;
167
 
168
signal BFMA1lIOLl: STD_logIC_vecTOR(31 downto 0);
169
 
170
signal BFMA1Iioll: Std_lOGIc_veCTor(2 downto 0);
171
 
172
signal BFMA1o0olL: STD_logIC;
173
 
174
signal BFMA1L0olL: std_LOgic_VEctor(3 downto 0);
175
 
176
signal BFMA1I0olL: STD_loGIC_veCTOr(2 downto 0);
177
 
178
signal BFMA1O1Oll: stD_LogiC_VectOR(1 downto 0);
179
 
180
signal BFMA1l1OLL: STD_logIC;
181
 
182
signal BFMA1I1olL: std_LOGic_VECtor(31 downto 0);
183
 
184
signal BFMA1OOlll: STd_loGIC_veCTOr(31 downto 0);
185
 
186
signal BFMA1LOlll: STd_loGIC;
187
 
188
signal BFMA1IOLll: sTD_logIC;
189
 
190
signal BFMA1olLLL: stD_logiC;
191
 
192
signal BFMA1LLLLl: stD_LogiC;
193
 
194
signal BFMA1iLLLl: STd_loGIC_veCTOr(15 downto 0);
195
 
196
signal BFMA1oilLL: std_LOGic_VECtor(31 downto 0);
197
 
198
signal BFMA1liLLL: std_LOGic;
199
 
200
signal BFMA1iilLL: STd_loGIC;
201
 
202
signal BFMA1o0lLL: stD_logiC;
203
 
204
signal BFMA1oo1OL: Std_lOGIc := '0';
205
 
206
signal InstR_In: std_Logic_VEctoR(31 downto 0) := ( others => '0');
207
 
208
signal CON_adDR: std_LOGic_VECtor(15 downto 0) := ( others => '0');
209
 
210
signal CON_daTA: stD_logiC_VectOR(31 downto 0) := ( others => 'Z');
211
 
212
begin
213
BFMA1Lo1ol: top_CoreUARTapb_0_BFM_MAIN
214
generic map (opMODe => 0,
215
Con_sPULse => 0,
216
veCTFile => VEctfiLE,
217
MAx_iNSTRuctIONs => max_INStruCTIons,
218
TPD => tpD,
219
maX_StacK => MAx_stACK,
220
MAx_meMTEst => maX_memtEST,
221
DEbuglEVEl => DEbugLEVEl,
222
aRGValue0 => ArgvaLUE0,
223
argVALue1 => ARgvalUE1,
224
ArgvALUe2 => ARgvalUE2,
225
ARgvalUE3 => ArgvaLUE3,
226
ArgvALUe4 => argvALUe4,
227
argVALue5 => ARgvalUE5,
228
argvALUe6 => argvALUe6,
229
ArgvALUE7 => ARGvaluE7,
230
arGVAlue8 => ARgvalUE8,
231
ARgvalUE9 => ARgvalUE9,
232
aRGValue10 => argvALUe10,
233
argvALUe11 => arGVAlue11,
234
argVALue12 => argVALue12,
235
ArgvaLUE13 => ArgvALUe13,
236
ARgvalUE14 => aRGValue14,
237
argvALUe15 => aRGValuE15,
238
ARgvalUE16 => aRGValuE16,
239
ArgvALUe17 => arGVAlue17,
240
aRGValue18 => aRGValue18,
241
aRGValuE19 => arGVAlue19,
242
aRGVAlue20 => ARGvaluE20,
243
ARGvaluE21 => ArgvALUE21,
244
argVALue22 => argVALue22,
245
argvALUe23 => argvALUe23,
246
argvALUe24 => aRGValue24,
247
argVALue25 => arGVAlue25,
248
argvALUe26 => ARGvalUE26,
249
ArgvaLUE27 => arGVAlue27,
250
argvALUe28 => ARGvaluE28,
251
ARGvalUE29 => aRGValuE29,
252
argVALue30 => ArgvALUe30,
253
ARGvaluE31 => argVALue31,
254
arGVAlue32 => arGVAlue32,
255
argVALue33 => argVALue33,
256
ARgvalUE34 => arGVAlue34,
257
ARgvalUE35 => arGVAlue35,
258
arGVAlue36 => argvALUe36,
259
ArgvaLUE37 => argVALue37,
260
ARGvaluE38 => ARGValuE38,
261
ArgvaLUE39 => aRGValue39,
262
argVALue40 => argVALue40,
263
argvALUe41 => argvALUe41,
264
ArgvALUE42 => ArgvaLUE42,
265
aRGValue43 => ARgvalUE43,
266
ARGvaluE44 => argvALUe44,
267
ArgvaLUE45 => ArgvaLUE45,
268
ARGvalUE46 => argVALue46,
269
aRGValuE47 => arGVAlue47,
270
ARGvaluE48 => arGVAlue48,
271
ArgvaLUE49 => ArgvaLUE49,
272
ARgvalUE50 => arGVAlue50,
273
ARGvaluE51 => ARGvaluE51,
274
ArgvaLUE52 => arGVAlue52,
275
aRGValue53 => aRGValue53,
276
argvALUe54 => argvALUe54,
277
arGVAlue55 => aRGValue55,
278
arGVAlue56 => ARGvalUE56,
279
argvALUe57 => argVALue57,
280
argvALUe58 => ArgvALUe58,
281
ArgvaLUE59 => ArgvALUe59,
282
ARgvaLUE60 => ARGvaluE60,
283
argvALUe61 => ARGvalUE61,
284
ArgvaLUE62 => argvALUe62,
285
argvALUe63 => argvALUe63,
286
ARGvaluE64 => arGVAlue64,
287
argVALue65 => ARGValuE65,
288
argVALue66 => argVALue66,
289
ARgvalUE67 => aRGValue67,
290
ARGvaluE68 => ArgvaLUE68,
291
ARgvalUE69 => ARgvalUE69,
292
aRGVAlue70 => ARGvaluE70,
293
aRGValue71 => ARGvaluE71,
294
ArgvaLUE72 => ARgvalUE72,
295
ARGvalUE73 => aRGValue73,
296
aRGValue74 => ARgvalUE74,
297
argVALue75 => aRGValue75,
298
ARgvalUE76 => argVALue76,
299
arGVAlue77 => ArgvALUe77,
300
arGVALue78 => ARGvalUE78,
301
aRGVAlue79 => ARGvalUE79,
302
argVALue80 => argVALue80,
303
ArgvaLUE81 => ARgvaLUE81,
304
ARGvalUE82 => ARGvaluE82,
305
ArgvaLUE83 => aRGValue83,
306
ARgvalUE84 => arGVAlue84,
307
ArgvALUe85 => ARgvalUE85,
308
ARgvalUE86 => ARgvaLUE86,
309
argvALUe87 => ARGvalUE87,
310
aRGValue88 => ARgvalUE88,
311
ArgvaLUE89 => argVALue89,
312
ARgvalUE90 => ARgvalUE90,
313
ARGvaluE91 => argVALue91,
314
aRGValue92 => ARgvalUE92,
315
ARGvaluE93 => ArgvaLUE93,
316
arGVAlue94 => aRGValuE94,
317
arGVAlue95 => arGVAlue95,
318
aRGValue96 => ARGvaluE96,
319
ArgvALUE97 => ARGvalUE97,
320
ARGvaluE98 => argVALue98,
321
aRGValue99 => argvALUe99)
322
port map (sysCLK => SYSclk,
323
sysRSTn => SYsrstN,
324
HADdr => BFMA1lioLL,
325
hcLK => BFMA1IlolL,
326
PClk => BFMA1LLoll,
327
hresETN => BFMA1OIOll,
328
HbursT => BFMA1iiOLL,
329
hmasTLOck => BFMA1O0Oll,
330
hPROt => BFMA1L0olL,
331
hsizE => BFMA1i0oLL,
332
htRANs => BFMA1O1oll,
333
HWrite => BFMA1l1oLL,
334
hwdATA => BFMA1OOLLl,
335
hrDATa => BFMA1i1OLL,
336
hREAdy => BFMA1LOLll,
337
hRESp => BFMA1llLLL,
338
Hsel => BFMA1ilLLL,
339
InteRRUPt => INTerrUPT,
340
GP_out => gp_OUt,
341
GP_in => gp_IN,
342
eXT_wr => EXt_wr,
343
ext_RD => exT_Rd,
344
eXT_addR => ext_ADdr,
345
exT_data => EXt_daTA,
346
ext_WAit => ext_WAit,
347
cON_addR => con_ADDr,
348
Con_DATa => COn_daTA,
349
con_RD => BFMA1OO1ol,
350
con_WR => BFMA1Oo1ol,
351
Con_bUSY => open ,
352
INstr_OUt => open ,
353
inSTR_in => iNSTr_in,
354
fINIshed => FInishED,
355
FAiled => FailED);
356
hclk <= BFMA1ILOll;
357
Pclk <= BFMA1LLOll;
358
PreseTN <= BFMA1oioLL;
359
BFMA1L0lll: top_CoreUARTapb_0_BFMA1i1lI
360
generic map (Tpd => Tpd)
361
port map (HCLk => BFMA1ILOll,
362
hreSETn => BFMA1OIOll,
363
hSEL => BFMA1ILlll(1),
364
hwriTE => BFMA1l1OLL,
365
HaddR => BFMA1lIOLl,
366
HWData => BFMA1OOLll,
367
hrdaTA => BFMA1oillL,
368
hreaDYIn => HReadyIN,
369
hrEADYout => BFMA1IILll,
370
htRANs => BFMA1o1OLL,
371
hsizE => BFMA1i0OLL,
372
hbURSt => BFMA1Iioll,
373
HMastlOCK => BFMA1o0oLL,
374
HProt => BFMA1l0OLl,
375
hreSP => BFMA1LIlll,
376
PSel => PSel,
377
Paddr => Paddr,
378
pWRIte => pwRITe,
379
penABLe => PEnablE,
380
PWdata => PWData,
381
PrdatA => prdATA,
382
PREady => PREAdy,
383
pSLVerr => pSLVErr);
384
process (BFMA1iLOLl,BFMA1OIOLl)
385
begin
386
if BFMA1oIOLl = '0' then
387
BFMA1O0Lll <= '0';
388
elsif BFMA1iloLL = '1' and BFMA1ILoll'EVEnt then
389
if BFMA1lolLL = '1' then
390
BFMA1o0lLL <= '0';
391
if BFMA1ilLLL(1) = '1' then
392
BFMA1o0LLL <= '1';
393
end if;
394
end if;
395
end if;
396
end process;
397
process (BFMA1o0llL,BFMA1LILll,BFMA1iILLl,BFMA1OILll,hRESp,HReadyIN,HRData)
398
begin
399
if BFMA1O0lll = '1' then
400
BFMA1LLlll <= BFMA1liLLL;
401
BFMA1lollL <= BFMA1IILLl;
402
BFMA1i1OLl <= BFMA1oilLL;
403
else
404
BFMA1llLLL <= hreSP;
405
BFMA1lOLLl <= hreaDYIn;
406
BFMA1i1oLL <= HRData;
407
end if;
408
end process;
409
HREadyoUT <= BFMA1lollL;
410
hresETN <= BFMA1OIoll;
411
hadDR <= BFMA1LIOll;
412
HbursT <= BFMA1Iioll;
413
HmastLOCk <= BFMA1O0Oll;
414
Hprot <= BFMA1l0olL;
415
hsizE <= BFMA1i0OLl;
416
htrANS <= BFMA1o1olL;
417
hwriTE <= BFMA1L1Oll;
418
HWdata <= BFMA1OOLll;
419
HSel <= BFMA1iLLLl;
420
end BFMA1i10i;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.