OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [component/] [work/] [top/] [CoreUARTapb_0/] [rtl/] [vhdl/] [amba_bfm/] [bfm_apb.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 uson
-- Actel Corporation Proprietary and Confidential
2
-- Copyright 2008 Actel Corporation.  All rights reserved.
3
-- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN 
4
-- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED 
5
-- IN ADVANCE IN WRITING.  
6
-- Revision Information:
7
-- SVN Revision Information:
8
-- SVN $Revision: 6419 $
9
-- SVN $Date: 2009-02-04 04:34:22 -0800 (Wed, 04 Feb 2009) $
10
use sTd.TEXtio.all;
11
library ieee;
12
use ieEE.std_LOGic_1164.all;
13
use IEee.NUMeriC_Std.all;
14
use Work.top_CoreUARTapb_0_bfM_packAGE.all;
15
entity top_CoreUARTapb_0_BFM_APB is
16
generic (VECtfilE: strING := "test.vec";
17
max_InstrUCTionS: INTeger := 16384;
18
Max_mEMTest: iNTEger := 65536;
19
MAx_stACK: INTeger := 1024;
20
TPd: IntegER range 0 to 1000 := 1;
21
debuGLEvel: INTEger range -1 to 5 := -1;
22
ArgvaLUE0: iNTEGer := 0;
23
ARgvalUE1: iNTEger := 0;
24
ARGvaluE2: inteGER := 0;
25
argVALue3: intEGEr := 0;
26
ARGvaluE4: IntegER := 0;
27
ArgvALUe5: INtegER := 0;
28
ARgvalUE6: InteGER := 0;
29
ARGvaluE7: INTEger := 0;
30
arGVAlue8: INTegeR := 0;
31
argVALue9: INTeger := 0;
32
arGVAlue10: inteGER := 0;
33
ArgvALUe11: inTEGer := 0;
34
ARgvalUE12: IntegER := 0;
35
ArgvaLUE13: INtegeR := 0;
36
ArgvALUe14: inteGER := 0;
37
argVALue15: inteGEr := 0;
38
ArgvaLUE16: INtegER := 0;
39
argvALUe17: inteGER := 0;
40
ARgvaLUE18: INtegER := 0;
41
argVALue19: INTeger := 0;
42
arGVAlue20: INtegeR := 0;
43
arGVAlue21: INTEger := 0;
44
ARGvaluE22: INTeger := 0;
45
ARGvaluE23: inteGER := 0;
46
ArgvaLUE24: INTegeR := 0;
47
aRGValuE25: INtegeR := 0;
48
argVALue26: INTeger := 0;
49
ArgvALUe27: iNTEGer := 0;
50
argVALue28: inTEGer := 0;
51
aRGValuE29: intEGEr := 0;
52
argvALUe30: inTEGer := 0;
53
argVALue31: InteGER := 0;
54
arGVAlue32: INTeger := 0;
55
ARgvaLUE33: iNTEger := 0;
56
arGVAlue34: IntegER := 0;
57
arGVAlue35: inteGER := 0;
58
ArgvaLUE36: iNTEGer := 0;
59
ARgvalUE37: inteGER := 0;
60
ARgvalUE38: INTegeR := 0;
61
ARGvaluE39: INTeger := 0;
62
ArgvALUe40: INtegeR := 0;
63
aRGValue41: inteGER := 0;
64
ARgvalUE42: intEGEr := 0;
65
ARGValuE43: IntegER := 0;
66
ARgvalUE44: IntegER := 0;
67
ARGvaluE45: INTEger := 0;
68
arGVAlue46: iNTEger := 0;
69
argvALUe47: iNTEger := 0;
70
argVALue48: INtegeR := 0;
71
argVALue49: integER := 0;
72
arGVALue50: IntegER := 0;
73
ARGvaluE51: iNTEger := 0;
74
ArgvaLUE52: inteGER := 0;
75
argvALUe53: intEGEr := 0;
76
ARgvalUE54: IntegER := 0;
77
ARGValuE55: iNTEGer := 0;
78
argvALUe56: INtegeR := 0;
79
aRGValue57: intEGEr := 0;
80
argvALUe58: iNTEger := 0;
81
argVALue59: INtegeR := 0;
82
ArgvaLUE60: inteGER := 0;
83
argVALue61: intEGER := 0;
84
ARGvaluE62: iNTEger := 0;
85
ARgvalUE63: INTEger := 0;
86
ArgvaLUE64: INTeger := 0;
87
arGVAlue65: inteGER := 0;
88
ArgvaLUE66: intEGEr := 0;
89
argvALUe67: intEGEr := 0;
90
argvALUe68: inteGER := 0;
91
ARgvalUE69: intEGEr := 0;
92
ArgvaLUE70: INTegeR := 0;
93
aRGVAlue71: inteGER := 0;
94
ARgvalUE72: intEGEr := 0;
95
arGVAlue73: INtegeR := 0;
96
ARgvaLUE74: inteGER := 0;
97
ArgvALUE75: intEGEr := 0;
98
ARgvalUE76: IntegER := 0;
99
argvALUe77: IntegER := 0;
100
ArgvALUe78: IntegER := 0;
101
ArgvaLUE79: IntegER := 0;
102
aRGValuE80: iNTEger := 0;
103
aRGValue81: IntegER := 0;
104
ARgvalUE82: IntegER := 0;
105
argvALUe83: inTEGer := 0;
106
ARGvalUE84: INtegeR := 0;
107
ArgvaLUE85: IntegER := 0;
108
ArgvALUe86: INtegER := 0;
109
ArgvaLUE87: iNTEger := 0;
110
arGVAlue88: IntegER := 0;
111
ARgvalUE89: iNTEGer := 0;
112
ARGvalUE90: iNTEger := 0;
113
argvALue91: inteGER := 0;
114
ArgvaLUE92: InteGER := 0;
115
ARgvalUE93: inteGER := 0;
116
ArgvaLUE94: INTeger := 0;
117
ArgvaLUE95: IntegER := 0;
118
ArgvaLUE96: INTeger := 0;
119
ARgvalUE97: iNTEger := 0;
120
ArgvALUe98: intEGEr := 0;
121
ArgvaLUE99: iNTEger := 0); port (syscLK: in Std_lOGIc;
122
SYsrstN: in stD_logiC;
123
pclk: out STd_loGIC;
124
PResetN: out std_LOgic;
125
paDDR: out STd_loGIC_veCTOr(31 downto 0);
126
penaBLE: out sTD_logIC;
127
pwRITe: out Std_LOGic;
128
pWDAta: out STD_logIC_veCTOr(31 downto 0);
129
PRdata: in STd_lOGIC_veCTor(31 downto 0);
130
PreadY: in std_LOgic;
131
pSLVerr: in std_LOGic;
132
Psel: out stD_logiC_VectOR(15 downto 0);
133
InterRUPt: in Std_lOGIc_veCTor(255 downto 0);
134
gp_oUT: out stD_LogiC_VectOR(31 downto 0);
135
Gp_in: in STD_logIC_veCTOr(31 downto 0);
136
Ext_WR: out sTD_logIC;
137
Ext_rD: out sTD_logIC;
138
eXT_addR: out std_LOGic_vECtor(31 downto 0);
139
exT_data: inout sTD_logIC_vecTOR(31 downto 0);
140
Ext_WAIt: in stD_logiC;
141
fiNIShed: out sTD_logIC;
142
faiLED: out Std_LOGIc);
143
end top_CoreUARTapb_0_BFM_APB;
144
 
145
architecture BFMA1I10i of top_CoreUARTapb_0_BFM_APB is
146
 
147
signal BFMA1llOLL: STd_loGIC;
148
 
149
signal BFMA1ilOLL: STd_loGIC;
150
 
151
signal BFMA1oiolL: sTD_logiC;
152
 
153
signal BFMA1LIoll: Std_LOGIc_vECTor(31 downto 0);
154
 
155
signal BFMA1iIOLl: sTD_logiC_vectOR(2 downto 0);
156
 
157
signal BFMA1O0oll: stD_Logic;
158
 
159
signal BFMA1L0oll: STd_loGIC_veCTOr(3 downto 0);
160
 
161
signal BFMA1I0olL: STD_logIC_veCTOr(2 downto 0);
162
 
163
signal BFMA1o1OLl: Std_lOGIc_vECTor(1 downto 0);
164
 
165
signal BFMA1l1OLl: STD_logIC;
166
 
167
signal BFMA1i1OLL: Std_lOGIc_vECTor(31 downto 0);
168
 
169
signal BFMA1OOlll: Std_LOGic_vECTor(31 downto 0);
170
 
171
signal BFMA1LOlll: stD_Logic;
172
 
173
signal BFMA1iOLLl: std_LOgic;
174
 
175
signal BFMA1OLLll: STD_logIC;
176
 
177
signal BFMA1LLlll: std_LOgic;
178
 
179
signal BFMA1iLLLl: std_LOgic_VEctoR(15 downto 0);
180
 
181
signal BFMA1OO1ol: STd_loGIC := '0';
182
 
183
signal insTR_in: sTD_logIC_vecTOR(31 downto 0) := ( others => '0');
184
 
185
signal CON_addR: Std_lOGIc_vECTor(15 downto 0) := ( others => '0');
186
 
187
signal coN_data: STd_loGIC_veCTOr(31 downto 0) := ( others => 'Z');
188
 
189
signal BFMA1IlilL: Std_lOGIc;
190
 
191
begin
192
BFMA1ililL <= '1';
193
BFMA1lo1OL: top_CoreUARTapb_0_BFM_MAIN
194
generic map (opmoDE => 0,
195
cON_spuLSE => 0,
196
VEctfiLE => VECtfiLE,
197
maX_instRUCtioNS => Max_INStrucTIOns,
198
max_STAck => max_Stack,
199
max_MEmteST => MAX_memTESt,
200
DEbuglEVEl => DEBugleVEL,
201
ArgvaLUE0 => ArgvaLUE0,
202
ArgvaLUE1 => aRGValue1,
203
ARGvaluE2 => arGVAlue2,
204
argVALue3 => ArgvaLUE3,
205
ARGvalUE4 => ARgvalUE4,
206
aRGValue5 => ArgvALUE5,
207
ARGvaluE6 => aRGValuE6,
208
aRGVAlue7 => arGVAlue7,
209
ArgvaLUE8 => aRGValue8,
210
aRGValue9 => ARGvaluE9,
211
argvALUe10 => aRGValue10,
212
argVALue11 => ARgvalUE11,
213
argVALue12 => ARGvalUE12,
214
ARGvaluE13 => ArgvALUe13,
215
aRGValue14 => arGVAlue14,
216
ArgvALUe15 => ArgvaLUE15,
217
aRGValue16 => aRGValuE16,
218
ArgvaLUE17 => aRGValue17,
219
arGVAlue18 => argvALUe18,
220
ArgvaLUE19 => arGVALue19,
221
ArgvaLUE20 => ArgvaLUE20,
222
ARGvaluE21 => ArgvaLUE21,
223
ARGvaluE22 => ArgvaLUE22,
224
ARGvaluE23 => ArgvaLUE23,
225
ARGvalUE24 => arGVAlue24,
226
argVALue25 => ARGvaluE25,
227
ARGvalUE26 => argVALue26,
228
argvALUe27 => argVALue27,
229
ArgvaLUE28 => argVALue28,
230
ARgvalUE29 => aRGValue29,
231
aRGValue30 => argvALUe30,
232
ArgvaLUE31 => ARgvalUE31,
233
aRGValue32 => argVALue32,
234
ArgvaLUE33 => aRGValue33,
235
aRGValue34 => argvALUe34,
236
ARGvaluE35 => ARGvaluE35,
237
ArgvALUE36 => ARGvaluE36,
238
ArgvaLUE37 => ArgvALUe37,
239
ArgvALUe38 => ARGvalUE38,
240
ARgvalUE39 => aRGVAlue39,
241
ARGvaluE40 => ARgvaLUE40,
242
argvALUe41 => aRGValue41,
243
ARgvalUE42 => ARgvalUE42,
244
arGVAlue43 => aRGValue43,
245
argVALue44 => aRGValue44,
246
ARgvalUE45 => ARgvalUE45,
247
aRGValue46 => ARGValuE46,
248
ARGvaluE47 => ARGvaluE47,
249
ARGValuE48 => ARgvaLUE48,
250
ArgvaLUE49 => ARgvalUE49,
251
ArgvaLUE50 => ARgvaLUE50,
252
ArgvaLUE51 => argVALue51,
253
ArgvaLUE52 => ArgvALUE52,
254
ARGvaluE53 => ArgvALUe53,
255
ARGvaluE54 => argvALUe54,
256
argVALue55 => argvALUe55,
257
ArgvaLUE56 => arGVAlue56,
258
ArgvaLUE57 => ArgvaLUE57,
259
ArgvALUE58 => aRGValue58,
260
aRGValuE59 => arGVAlue59,
261
argvALUe60 => ARGvalUE60,
262
ARGvalUE61 => arGVAlue61,
263
aRGValuE62 => argvALUe62,
264
arGVALue63 => ARGvaluE63,
265
arGVAlue64 => arGVAlue64,
266
ArgvALUe65 => ArgvALUE65,
267
ArgvaLUE66 => ArgvaLUE66,
268
ArgvaLUE67 => aRGVAlue67,
269
arGVAlue68 => aRGVAlue68,
270
argVALue69 => ArgvaLUE69,
271
argvALUe70 => ARGvaluE70,
272
argVALue71 => ARgvaLUE71,
273
arGVAlue72 => ARgvaLUE72,
274
arGVAlue73 => aRGValue73,
275
aRGVAlue74 => arGVAlue74,
276
arGVAlue75 => arGVAlue75,
277
ArgvaLUE76 => argVALue76,
278
ARGvaluE77 => ARgvalUE77,
279
argVALue78 => arGVAlue78,
280
arGVAlue79 => argvALUe79,
281
argvALUe80 => arGVAlue80,
282
argvALUe81 => argVALue81,
283
ArgvALUe82 => argvALUe82,
284
argVALue83 => ArgvaLUE83,
285
ARgvalUE84 => ARGvaluE84,
286
arGVAlue85 => argVALue85,
287
ArgvaLUE86 => argVALue86,
288
ARGvaluE87 => argvALUe87,
289
ArgvALUe88 => ArgvaLUE88,
290
ArgvALUe89 => aRGValue89,
291
aRGValue90 => arGVAlue90,
292
aRGValue91 => aRGValue91,
293
ARGvaluE92 => arGVAlue92,
294
ARGvaluE93 => ARGValuE93,
295
ARgvaLUE94 => aRGValue94,
296
ArgvALUe95 => argVALue95,
297
ARGvaluE96 => ARGvaluE96,
298
ArgvaLUE97 => arGVAlue97,
299
ArgvALUe98 => aRGValue98,
300
ARGvaluE99 => ArgvALUE99)
301
port map (SysclK => SysclK,
302
sysrSTN => sysRSTn,
303
HAddr => BFMA1LIoll,
304
hclk => BFMA1ilOLL,
305
PClk => BFMA1lLOLl,
306
HResetN => BFMA1oIOLl,
307
HBUrst => BFMA1iiolL,
308
hmasTLOck => BFMA1O0oll,
309
hprOT => BFMA1L0Oll,
310
HsizE => BFMA1i0OLL,
311
htRANs => BFMA1o1OLl,
312
HWRite => BFMA1L1oll,
313
HWdata => BFMA1OOLll,
314
hrDATa => BFMA1i1OLL,
315
HREady => BFMA1LOlll,
316
HResp => BFMA1LLLLl,
317
HSEl => BFMA1ILLll,
318
iNTErruPT => InteRRUPt,
319
GP_out => GP_out,
320
gp_In => GP_in,
321
ext_WR => ext_WR,
322
Ext_RD => EXT_rd,
323
ext_ADDr => ext_Addr,
324
exT_data => EXT_datA,
325
EXT_waIT => EXt_waIT,
326
con_ADDr => con_ADDr,
327
CON_datA => Con_dATA,
328
CON_rd => BFMA1OO1ol,
329
cON_wr => BFMA1oO1Ol,
330
COn_buSY => open ,
331
INstr_OUt => open ,
332
Instr_IN => Instr_IN,
333
fINIshed => finiSHEd,
334
FAiled => FaileD);
335
pCLK <= BFMA1LLOLl;
336
preSETn <= BFMA1Oioll;
337
BFMA1l0LLL: top_CoreUARTapb_0_BFMA1i1lI
338
generic map (Tpd => TPD)
339
port map (Hclk => BFMA1ILOll,
340
HReseTN => BFMA1OIoll,
341
hsEL => BFMA1ILill,
342
HWrite => BFMA1l1oLL,
343
haDDR => BFMA1liOLL,
344
HwdaTA => BFMA1oOLLl,
345
hRDAta => BFMA1i1oLL,
346
HreadYIN => BFMA1loLLL,
347
HReadyOUT => BFMA1LOlll,
348
HTRans => BFMA1o1oLL,
349
HSIze => BFMA1i0OLl,
350
HBUrst => BFMA1iIOLl,
351
HMAstloCK => BFMA1o0OLL,
352
hPROt => BFMA1l0olL,
353
hRESp => BFMA1LLlll,
354
pseL => pSEL,
355
paddR => padDR,
356
PWrite => pwriTE,
357
PEnabLE => penABLe,
358
PwdatA => PwdatA,
359
PRdata => PRData,
360
PREady => preaDY,
361
PSLverr => PSLverR);
362
end BFMA1I10i;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.