OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [component/] [work/] [top/] [CoreUARTapb_0/] [rtl/] [vhdl/] [amba_bfm/] [bfm_apbslave.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 uson
-- Actel Corporation Proprietary and Confidential
2
-- Copyright 2008 Actel Corporation.  All rights reserved.
3
-- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN 
4
-- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED 
5
-- IN ADVANCE IN WRITING.  
6
-- Revision Information:
7
-- SVN Revision Information:
8
-- SVN $Revision: 6419 $
9
-- SVN $Date: 2009-02-04 04:34:22 -0800 (Wed, 04 Feb 2009) $
10
library IEEE;
11
use iEEE.Std_lOGIc_1164.all;
12
use iEEE.NumerIC_std.all;
13
use Work.bfM_Misc.all;
14
use WORk.BFM_teXTIo.all;
15
use woRK.top_CoreUARTapb_0_bfM_packAGE.all;
16
use sTD.TextIO.all;
17
entity top_CoreUARTapb_0_BFM_APBSLAVE is
18
generic (awidTH: intEGEr range 1 to 32;
19
deptH: iNTEger := 256;
20
dwidTH: inTEGer range 8 to 32 := 32;
21
iNITfilE: stRINg := "";
22
id: iNTEger := 0;
23
tpd: inTEGer range 0 to 1000 := 1;
24
enfuNC: INTeger := 0;
25
debUG: INtegeR range 0 to 1 := 0); port (pclk: in sTD_logIC;
26
PResetN: in std_Logic;
27
peNABle: in std_LOGic;
28
pwrITE: in STd_loGIC;
29
pseL: in sTD_logIC;
30
PADdr: in stD_LogiC_VectOR(awIDTh-1 downto 0);
31
PwdaTA: in std_LOgic_VEctoR(dwiDTH-1 downto 0);
32
PrdaTA: out std_LOGic_VECtor(dwIDTH-1 downto 0);
33
prEADy: out Std_LOGIc;
34
PslveRR: out STD_loGIC);
35
end top_CoreUARTapb_0_BFM_APBSLAVE;
36
 
37
architecture BFMA1IO1ol of top_CoreUARTapb_0_BFM_APBSLAVE is
38
 
39
signal Ext_eN: Std_lOGIc;
40
 
41
signal Ext_wR: std_LOgic;
42
 
43
signal Ext_rD: sTD_logIC;
44
 
45
signal EXt_adDR: sTD_logIC_vecTOR(AWidth-1 downto 0);
46
 
47
signal Ext_dATA: STd_lOGIC_veCTOr(DwidTH-1 downto 0);
48
 
49
begin
50
Ext_eN <= '0';
51
ext_WR <= '0';
52
EXT_rd <= '0';
53
EXT_addR <= ( others => '0');
54
EXT_datA <= ( others => 'Z');
55
BFMA1oiILL: top_CoreUARTapb_0_BFM_APBSLAVEEXt
56
generic map (AwidTH => awiDTH,
57
DEpth => DeptH,
58
DwidTH => DWIdth,
59
Ext_SIZe => 2,
60
INitfiLE => InitfILE,
61
id => ID,
62
TPd => Tpd,
63
ENfunc => EnfuNC,
64
DEBug => Debug)
65
port map (pclk => pclK,
66
pRESEtn => PreseTN,
67
PENable => PENablE,
68
pwrITE => PwritE,
69
psEL => PSEl,
70
PADdr => pADDr,
71
pwdaTA => pwdATA,
72
prdaTA => PrdatA,
73
PREady => pREADy,
74
PSlverR => PSlverR,
75
EXT_en => EXt_en,
76
EXt_wr => Ext_wR,
77
Ext_rD => Ext_RD,
78
Ext_aDDR => ext_ADDr,
79
ext_DATa => EXt_daTA);
80
end BFMA1io1OL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.