OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [component/] [work/] [top/] [CoreUARTapb_0/] [rtl/] [vhdl/] [core/] [components.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 uson
 
2
library ieee;
3
use ieee.std_logic_1164.all;
4
 
5
package top_CoreUARTapb_0_components is
6
component top_CoreUARTapb_0_CoreUARTapb
7
   GENERIC (
8
      RX_LEGACY_MODE                 :  integer := 0;
9
      -- DEVICE FAMILY 
10
      FAMILY                         :  integer := 15;
11
      -- UART configuration parameters
12
      TX_FIFO                        :  integer := 0;    --  1 = with tx fifo, 0 = without tx fifo
13
      RX_FIFO                        :  integer := 0;    --  1 = with rx fifo, 0 = without rx fifo
14
      BAUD_VALUE                     :  integer := 0;    --  Baud value is set only when fixed buad rate is selected 
15
      FIXEDMODE                      :  integer := 0;    --  fixed or programmable mode, 0: programmable; 1:fixed
16
      PRG_BIT8                       :  integer := 0;    --  This bit value is selected only when FIXEDMODE is set to 1 
17
      PRG_PARITY                     :  integer := 0;    --  This bit value is selected only when FIXEDMODE is set to 1 
18
      BAUD_VAL_FRCTN                 :  integer := 0;    --  0 = +0.0, 1 = +0.125, 2 = +0.25, 3 = +0.375, 4 = +0.5, 5 = +0.625, 6 = +0.75, 7 = +0.875,
19
      BAUD_VAL_FRCTN_EN              :  integer := 0    --  1 = enable baud fraction, 0 = disable baud fraction
20
);
21
   PORT (
22
      -- Inputs and Outputs
23
-- APB signals
24
 
25
      PCLK                    : IN std_logic;   --  APB system clock
26
      PRESETN                 : IN std_logic;   --  APB system reset
27
      PADDR                   : IN std_logic_vector(4 DOWNTO 0);   --  Address
28
      PSEL                    : IN std_logic;   --  Peripheral select signal
29
      PENABLE                 : IN std_logic;   --  Enable (data valid strobe)
30
      PWRITE                  : IN std_logic;   --  Write/nRead signal
31
      PWDATA                  : IN std_logic_vector(7 DOWNTO 0);   --  8 bit write data
32
      PRDATA                  : OUT std_logic_vector(7 DOWNTO 0);   --  8 bit read data
33
 
34
      -- AS: Added PREADY and PSLVERR
35
      PREADY                  : OUT std_logic;   -- APB READY signal (tied to 1)
36
      PSLVERR                 : OUT std_logic;  -- APB slave error signal (tied to 0)
37
 
38
      -- transmit ready and receive full indicators
39
 
40
      TXRDY                   : OUT std_logic;
41
      RXRDY                   : OUT std_logic;
42
      -- FLAGS 
43
 
44
      FRAMING_ERR             : OUT std_logic;
45
      PARITY_ERR              : OUT std_logic;
46
      OVERFLOW                : OUT std_logic;
47
      -- Serial receive and transmit data
48
 
49
      RX                      : IN std_logic;
50
      TX                      : OUT std_logic
51
);
52
end component;
53
 
54
end top_CoreUARTapb_0_components;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.