OpenCores
URL https://opencores.org/ocsvn/aic1106_avalon_ip/aic1106_avalon_ip/trunk

Subversion Repositories aic1106_avalon_ip

[/] [aic1106_avalon_ip/] [trunk/] [AIC1106_PCM_hw.tcl] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 AlexO
# TCL File Generated by Component Editor 11.0sp1
2
# Sun Aug 12 17:32:19 IDT 2012
3
# DO NOT MODIFY
4
 
5
 
6
# +-----------------------------------
7
# | 
8
# | AIC1106_PCM "TLV320AIC1106" v1.0
9
# | AlexO by 2012 2012.08.12.17:32:19
10
# | TLV320AIC1106 voice codec
11
# | 
12
# | C:/_MicroTag/uTagG32/trunk/hardware/FPGA/AIC1106/AIC1106_PCM.v
13
# | 
14
# |    ./AIC1106_PCM.v syn, sim
15
# | 
16
# +-----------------------------------
17
 
18
# +-----------------------------------
19
# | request TCL package from ACDS 11.0
20
# | 
21
package require -exact sopc 11.0
22
# | 
23
# +-----------------------------------
24
 
25
# +-----------------------------------
26
# | module AIC1106_PCM
27
# | 
28
set_module_property DESCRIPTION "TLV320AIC1106 voice codec"
29
set_module_property NAME AIC1106_PCM
30
set_module_property VERSION 1.0
31
set_module_property INTERNAL false
32
set_module_property OPAQUE_ADDRESS_MAP true
33
set_module_property AUTHOR "AlexO by 2012"
34
set_module_property DISPLAY_NAME TLV320AIC1106
35
set_module_property TOP_LEVEL_HDL_FILE AIC1106_PCM.v
36
set_module_property TOP_LEVEL_HDL_MODULE AIC1106_PCM
37
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
38
set_module_property EDITABLE true
39
set_module_property ANALYZE_HDL TRUE
40
set_module_property STATIC_TOP_LEVEL_MODULE_NAME AIC1106_PCM
41
set_module_property FIX_110_VIP_PATH false
42
# | 
43
# +-----------------------------------
44
 
45
# +-----------------------------------
46
# | files
47
# | 
48
add_file AIC1106_PCM.v {SYNTHESIS SIMULATION}
49
# | 
50
# +-----------------------------------
51
 
52
# +-----------------------------------
53
# | parameters
54
# | 
55
# | 
56
# +-----------------------------------
57
 
58
# +-----------------------------------
59
# | display items
60
# | 
61
# | 
62
# +-----------------------------------
63
 
64
# +-----------------------------------
65
# | connection point avalon
66
# | 
67
add_interface avalon clock end
68
#set_interface_property avalon clockRate 80000000
69
 
70
set_interface_property avalon ENABLED true
71
 
72
add_interface_port avalon csi_avalon_clk clk Input 1
73
# | 
74
# +-----------------------------------
75
 
76
# +-----------------------------------
77
# | connection point clock_reset
78
# | 
79
add_interface clock_reset reset end
80
set_interface_property clock_reset associatedClock avalon
81
set_interface_property clock_reset synchronousEdges DEASSERT
82
 
83
set_interface_property clock_reset ENABLED true
84
 
85
add_interface_port clock_reset csi_reset reset Input 1
86
# | 
87
# +-----------------------------------
88
 
89
# +-----------------------------------
90
# | connection point audio
91
# | 
92
add_interface audio clock end
93
set_interface_property audio clockRate 2048000
94
 
95
set_interface_property audio ENABLED true
96
 
97
add_interface_port audio csi_audio_clk clk Input 1
98
# | 
99
# +-----------------------------------
100
 
101
# +-----------------------------------
102
# | connection point creg
103
# | 
104
add_interface creg avalon end
105
set_interface_property creg addressAlignment DYNAMIC
106
set_interface_property creg addressUnits WORDS
107
set_interface_property creg associatedClock avalon
108
set_interface_property creg associatedReset clock_reset
109
set_interface_property creg burstOnBurstBoundariesOnly false
110
set_interface_property creg explicitAddressSpan 0
111
set_interface_property creg holdTime 0
112
set_interface_property creg isMemoryDevice false
113
set_interface_property creg isNonVolatileStorage false
114
set_interface_property creg linewrapBursts false
115
set_interface_property creg maximumPendingReadTransactions 0
116
set_interface_property creg printableDevice false
117
set_interface_property creg readLatency 0
118
set_interface_property creg readWaitTime 1
119
set_interface_property creg setupTime 0
120
set_interface_property creg timingUnits Cycles
121
set_interface_property creg writeWaitTime 0
122
 
123
set_interface_property creg ENABLED true
124
 
125
add_interface_port creg avs_creg_address address Input 2
126
add_interface_port creg avs_creg_chipselect chipselect Input 1
127
add_interface_port creg avs_creg_write write Input 1
128
add_interface_port creg avs_creg_read read Input 1
129
add_interface_port creg avs_creg_writedata writedata Input 32
130
add_interface_port creg avs_creg_readdata readdata Output 32
131
# | 
132
# +-----------------------------------
133
 
134
# +-----------------------------------
135
# | connection point avalon_streaming_sink
136
# | 
137
add_interface avalon_streaming_sink avalon_streaming end
138
set_interface_property avalon_streaming_sink associatedClock audio
139
set_interface_property avalon_streaming_sink dataBitsPerSymbol 32
140
set_interface_property avalon_streaming_sink errorDescriptor ""
141
set_interface_property avalon_streaming_sink firstSymbolInHighOrderBits true
142
set_interface_property avalon_streaming_sink maxChannel 0
143
set_interface_property avalon_streaming_sink readyLatency 1
144
 
145
set_interface_property avalon_streaming_sink ENABLED true
146
 
147
add_interface_port avalon_streaming_sink asi_data data Input 32
148
add_interface_port avalon_streaming_sink asi_valid valid Input 1
149
add_interface_port avalon_streaming_sink asi_ready ready Output 1
150
# | 
151
# +-----------------------------------
152
 
153
# +-----------------------------------
154
# | connection point avalon_streaming_source
155
# | 
156
add_interface avalon_streaming_source avalon_streaming start
157
set_interface_property avalon_streaming_source associatedClock audio
158
set_interface_property avalon_streaming_source dataBitsPerSymbol 32
159
set_interface_property avalon_streaming_source errorDescriptor ""
160
set_interface_property avalon_streaming_source firstSymbolInHighOrderBits true
161
set_interface_property avalon_streaming_source maxChannel 0
162
set_interface_property avalon_streaming_source readyLatency 0
163
 
164
set_interface_property avalon_streaming_source ENABLED true
165
 
166
add_interface_port avalon_streaming_source aso_data data Output 32
167
add_interface_port avalon_streaming_source aso_valid valid Output 1
168
# | 
169
# +-----------------------------------
170
 
171
# +-----------------------------------
172
# | connection point conduit_end
173
# | 
174
add_interface conduit_end conduit end
175
 
176
set_interface_property conduit_end ENABLED true
177
 
178
add_interface_port conduit_end coe_mclk export Output 1
179
add_interface_port conduit_end coe_pcmsyn export Output 1
180
add_interface_port conduit_end coe_pcmi export Output 1
181
add_interface_port conduit_end coe_pcmo export Input 1
182
add_interface_port conduit_end coe_reset_n export Output 1
183
add_interface_port conduit_end coe_mute export Output 1
184
add_interface_port conduit_end coe_linsel export Output 1
185
# | 
186
# +-----------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.