OpenCores
URL https://opencores.org/ocsvn/alternascope/alternascope/trunk

Subversion Repositories alternascope

[/] [alternascope/] [tags/] [Devel/] [VGA/] [CharDecode/] [d_CharDecode.v] - Blame information for rev 30

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 smpickett
//==================================================================//
2
// File:    d_xxxxxxxxxxxx                                          //
3
// Version: 0.0.0.1                                                 //
4
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
5
// Copyright (C) Stephen Pickett                                    //
6
//   Jun 17, 2005                                                   //
7
//                                                                  //
8
// This program is free software; you can redistribute it and/or    //
9
// modify it under the terms of the GNU General Public License      //
10
// as published by the Free Software Foundation; either version 2   //
11
// of the License, or (at your option) any later version.           //
12
//                                                                  //
13
// This program is distributed in the hope that it will be useful,  //
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of   //
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the    //
16
// GNU General Public License for more details.                     //
17
//                                                                  //
18
// If you have not received a copy of the GNU General Public License//
19
// along with this program; write to:                               //
20
//     Free Software Foundation, Inc.,                              //
21
//     51 Franklin Street, Fifth Floor,                             //
22
//     Boston, MA  02110-1301, USA.                                 //
23
//                                                                  //
24
//------------------------------------------------------------------//
25
// Revisions:                                                       //
26
// Ver 0.0.0.1     Jun 17, 2005   Initial Development Release       //
27
//                                                                  //
28
//==================================================================//
29
 
30
module CharacterDisplay(
31
    MASTER_CLK, MASTER_RST,
32
    CLK_VGA, HCNT, VCNT,
33
    RGB_OUT,
34
    data_charRamRead, data_charMap
35
    );
36
 
37
//==================================================================//
38
// PARAMETER DEFINITIONS                                            //
39
//==================================================================//
40
parameter P_black   = 3'b000;
41
parameter P_yellow  = 3'b110;
42
parameter P_cyan    = 3'b011;
43
parameter P_green   = 3'b010;
44
parameter P_white   = 3'b111;
45
parameter P_blue    = 3'b111;
46
 
47
//==================================================================//
48
// VARIABLE DEFINITIONS                                             //
49
//==================================================================//
50
//----------------------//
51
// INPUTS / OUTPUTS     //
52
//----------------------//
53
input MASTER_CLK;                // System wide clock
54
input MASTER_RST;               // System wide reset
55
input CLK_VGA;                  // Pixel Clk
56
input[9:0] HCNT;                // Horizontal Sync Counter
57
input[9:0] VCNT;                // Vertical Sync Counter
58
output[2:0] RGB_OUT;            // The RGB data
59
 
60
output[7:0] data_charRamRead;
61
output[7:0] data_charMap;
62
 
63
 
64
//----------------------//
65
// WIRES / NODES        //
66
//----------------------//
67
wire MASTER_CLK, MASTER_RST, CLK_VGA;
68
wire[9:0] HCNT, VCNT;
69
reg[2:0]  RGB_OUT;
70
 
71
 
72
 
73
//----------------------//
74
// REGISTERS            //
75
//----------------------//
76
reg[3:0] cnt_charPxls;
77
reg[5:0] cnt_Hchar;
78
reg[10:0] cnt_Vchar;
79
wire     charRow1, charRow2, charRow3, charRow4;
80
 
81
wire[10:0] addr_charRamRead;
82
wire[7:0]  data_charRamRead;
83
 
84
reg[7:0]   mask_charMap;
85
wire[10:0] addr_charMap;
86
wire[7:0]  data_charMap;
87
 
88
 
89
//==================================================================//
90
// FUNCTIONAL DEFINITIONS                                           //
91
//==================================================================//
92
 
93
 
94
 
95
//------------------------------------------------------------------//
96
// Character Input / Storage                                        //
97
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
98
// A useful description could go here!                              //
99
//------------------------------------------------------------------//
100
 
101
 
102
 
103
 
104
 
105
//------------------------------------------------------------------//
106
// Character Decode                                                 //
107
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
108
// A useful description could go here!                              //
109
//------------------------------------------------------------------//
110
 
111
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
112
// DECODE the Character RAM Address via HCNT and VCNT               //
113
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
114
 
115
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
116
    if(MASTER_RST) begin
117
        cnt_charPxls <= 4'd10;
118
    end else if(HCNT >= 10'd6) begin //7
119
        if(cnt_charPxls == 4'd0)
120
            cnt_charPxls <= 4'd10;
121
        else
122
            cnt_charPxls <= cnt_charPxls-1;
123
    end else begin
124
        cnt_charPxls <= 4'd10;
125
    end
126
end
127
 
128
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
129
    if(MASTER_RST) begin
130
        cnt_Hchar <= 6'd0;
131
    end else if(HCNT >= 10'd6 && cnt_charPxls == 4'd0) begin
132
        if(cnt_Hchar == 6'd56)
133
            cnt_Hchar <= 6'd0;
134
        else
135
            cnt_Hchar <= cnt_Hchar+1;
136
    end else if(HCNT < 10'd6) begin
137
        cnt_Hchar <= 6'd0;
138
    end else begin
139
        cnt_Hchar <= cnt_Hchar;
140
    end
141
end
142
 
143
assign charRow1 = ((VCNT <= 512) && (VCNT >= 498)); // one more
144
assign charRow2 = ((VCNT <= 494) && (VCNT >= 480));
145
assign charRow3 = ((VCNT <= 476) && (VCNT >= 462));
146
assign charRow4 = ((VCNT <= 458) && (VCNT >= 444));
147
 
148
always @ (charRow1 or charRow2 or charRow3 or charRow4) begin
149
         if(charRow1) cnt_Vchar = 11'd0;
150
    else if(charRow2) cnt_Vchar = 11'd57;
151
    else if(charRow3) cnt_Vchar = 11'd114;
152
    else              cnt_Vchar = 11'd174;
153
end
154
 
155
assign addr_charRamRead = cnt_Vchar + cnt_Hchar;
156
 
157
 
158
 
159
 
160
 
161
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
162
// DECODE the Character Map via HCNT and VCNT and CHAR_DATA         //
163
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
164
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
165
    if(MASTER_RST) begin
166
        mask_charMap <= 8'd0;
167
    end else if(VCNT <= 10'd512) begin
168
        if(HCNT == 10'd0 && VCNT[0] == 1'b1) begin  //1B0
169
            if(mask_charMap == 8'd0)
170
                mask_charMap <= 8'b10000000;
171
            else
172
                mask_charMap <= mask_charMap >> 1;
173
        end else
174
            mask_charMap <= mask_charMap;
175
    end else begin
176
        mask_charMap <= 8'd0;
177
    end
178
end
179
 
180
 
181
 
182
assign addr_charMap = (data_charRamRead * 8'd5) + (cnt_charPxls[3:1]);
183
 
184
 
185
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
186
// DECODE the VGA_OUTPUT via the Character Map                      //
187
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
188
reg[2:0] rgb_buf;
189
 
190
always @ (mask_charMap or data_charMap) begin
191
    if((charRow1 | charRow2 | charRow3 | charRow4) && ((mask_charMap & data_charMap) != 8'b0) && (cnt_charPxls != 4'd10) && (HCNT >= 10'd7) && (HCNT <= 10'd632))
192
        rgb_buf = P_yellow;
193
    else
194
        rgb_buf = P_black;
195
end
196
 
197
always @ (posedge CLK_VGA) begin
198
    RGB_OUT <= rgb_buf;
199
end
200
 
201
 
202
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
203
// COUNTER TESTING                                                  //
204
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
205
reg[63:0] test_cnt;
206
reg[10:0] test_cntAddr;
207
reg[7:0]  data_time;
208
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
209
    if(MASTER_RST)
210
        test_cnt <= 64'd0;
211
    else
212
        test_cnt <= test_cnt+1;
213
end
214
 
215
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
216
    if(MASTER_RST)
217
        test_cntAddr <= 11'd41;
218
    else if(test_cntAddr == 11'd56)
219
        test_cntAddr <= 11'd41;
220
    else
221
        test_cntAddr <= test_cntAddr+1;
222
end
223
 
224
always @ (test_cntAddr or test_cnt) begin
225
         if(test_cntAddr == 11'd41) data_time[3:0] = test_cnt[63:60];
226
    else if(test_cntAddr == 11'd42) data_time[3:0] = test_cnt[59:56];
227
    else if(test_cntAddr == 11'd43) data_time[3:0] = test_cnt[55:52];
228
    else if(test_cntAddr == 11'd44) data_time[3:0] = test_cnt[51:48];
229
    else if(test_cntAddr == 11'd45) data_time[3:0] = test_cnt[47:44];
230
    else if(test_cntAddr == 11'd46) data_time[3:0] = test_cnt[43:40];
231
    else if(test_cntAddr == 11'd47) data_time[3:0] = test_cnt[39:36];
232
    else if(test_cntAddr == 11'd48) data_time[3:0] = test_cnt[35:32];
233
    else if(test_cntAddr == 11'd49) data_time[3:0] = test_cnt[31:28];
234
    else if(test_cntAddr == 11'd50) data_time[3:0] = test_cnt[27:24];
235
    else if(test_cntAddr == 11'd51) data_time[3:0] = test_cnt[23:20];
236
    else if(test_cntAddr == 11'd52) data_time[3:0] = test_cnt[19:16];
237
    else if(test_cntAddr == 11'd53) data_time[3:0] = test_cnt[15:12];
238
    else if(test_cntAddr == 11'd54) data_time[3:0] = test_cnt[11:8];
239
    else if(test_cntAddr == 11'd55) data_time[3:0] = test_cnt[7:4];
240
    else if(test_cntAddr == 11'd56) data_time[3:0] = test_cnt[3:0];
241
    else                            data_time[3:0] = 4'b0000;
242
end
243
 
244
always begin
245
    data_time[7:4] = 4'b0;
246
end
247
 
248
 
249
 
250
 
251
 
252
 
253
 
254
 
255
 
256
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
257
// Character Decode RAM INSTANTIATION                               //
258
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
259
// A useful description could go here!                              //
260
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
261
wire VCC, GND;
262
assign VCC = 1'b1;
263
assign GND = 1'b0;
264
 
265
RAMB16_S9_S9 #(
266
//                  6666555555555544444444443333333333222222222211111111110000000000
267
      .INIT_00(256'h920de29292928ee0101010fe449292927c668A9292662242FE02027C8282827C),
268
//                  CCCCCCCCBBBBBBBBBBAAAAAAAAAA999999999988888888887777777777666666
269
      .INIT_01(256'h828282c6Fe9292926c7e9090907e609292927d6d9292926d808698a0C07d9292),
270
//                                        --SPACE---FFFFFFFFFFEEEEEEEEEEDDDDDDDDDDCC
271
      .INIT_02(256'h00000000000000000000000000000000Fe909090c0Fe929292c6FE8282827c7c),
272
      .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
273
      .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
274
      .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
275
      .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
276
      .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
277
      .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
278
      .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
279
      .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
280
      .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
281
      .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
282
      .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
283
      .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
284
      .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
285
      .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
286
      .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
287
      .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
288
      .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
289
      .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
290
      .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
291
      .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
292
      .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
293
      .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
294
      .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
295
      .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
296
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
297
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
298
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
299
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
300
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
301
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
302
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
303
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
304
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
305
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
306
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
307
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
308
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
309
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
310
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
311
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
312
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
313
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
314
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
315
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
316
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
317
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
318
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
319
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
320
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
321
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
322
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
323
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
324
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
325
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
326
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
327
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
328
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
329
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
330
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
331
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
332
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
333
) RAM_Character_Map (
334
    .DOA(),         .DOB(data_charMap),
335
    .DOPA(),        .DOPB(),
336
    .ADDRA(11'b111),  .ADDRB(addr_charMap),
337
    .CLKA(GND),     .CLKB(MASTER_CLK),
338
    .DIA(8'b0),     .DIB(8'b0),
339
    .DIPA(GND),     .DIPB(GND),
340
    .ENA(GND),      .ENB(VCC),
341
    .WEA(GND),      .WEB(GND),
342
    .SSRA(GND),     .SSRB(GND)
343
    );
344
 
345
 
346
RAMB16_S9_S9 #(
347
      .INIT_00(256'h1010101010101010101010100F0E0D0C0B0A0908070605040302010010101010),
348
      .INIT_01(256'h1010101010101010101010101010101010101010101010101010101010101010),
349
      .INIT_02(256'h1010101010101010101010101010101010101010101010101010101010101010),
350
      .INIT_03(256'h1010101010101010101010101010101010101010101010101010101010101010),
351
      .INIT_04(256'h1010101010101010101010101010101010101010101010101010101010101010),
352
      .INIT_05(256'h1010101010101010101010101010101010101010101010101010101010101010),
353
      .INIT_06(256'h1010101010101010101010101010101010101010101010101010101010101010),
354
      .INIT_07(256'h1010101010101010101010101010101010101010101010101010101010101010),
355
      .INIT_08(256'h1010101010101010101010101010101010101010101010101010101010101010),
356
      .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
357
      .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
358
      .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
359
      .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
360
      .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
361
      .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
362
      .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
363
      .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
364
      .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
365
      .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
366
      .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
367
      .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
368
      .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
369
      .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
370
      .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
371
      .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
372
      .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
373
      .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
374
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
375
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
376
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
377
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
378
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
379
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
380
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
381
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
382
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
383
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
384
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
385
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
386
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
387
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
388
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
389
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
390
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
391
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
392
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
393
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
394
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
395
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
396
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
397
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
398
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
399
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
400
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
401
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
402
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
403
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
404
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
405
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
406
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
407
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
408
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
409
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
410
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
411
) RAM_Character_Test (
412
    .DOA(),                 .DOB(data_charRamRead),
413
    .DOPA(),                .DOPB(),
414
    .ADDRA(test_cntAddr),   .ADDRB(addr_charRamRead),
415
    .CLKA(MASTER_CLK),      .CLKB(MASTER_CLK),
416
    .DIA(data_time),        .DIB(8'b0),
417
    .DIPA(GND),             .DIPB(GND),
418
    .ENA(VCC),              .ENB(VCC),
419
    .WEA(VCC),              .WEB(GND),
420
    .SSRA(GND),             .SSRB(GND)
421
    );
422
 
423
 
424
 
425
 
426
 
427
 
428
 
429
 
430
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.