OpenCores
URL https://opencores.org/ocsvn/alternascope/alternascope/trunk

Subversion Repositories alternascope

[/] [alternascope/] [trunk/] [VGA/] [CharDecode/] [d_CharDecode.v] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 smpickett
//==================================================================//
2 17 smpickett
// File:    d_CharDecodeSmall.v                                     //
3 11 smpickett
// Version: 0.0.0.1                                                 //
4
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
5
// Copyright (C) Stephen Pickett                                    //
6
//   Jun 17, 2005                                                   //
7
//                                                                  //
8
// This program is free software; you can redistribute it and/or    //
9
// modify it under the terms of the GNU General Public License      //
10
// as published by the Free Software Foundation; either version 2   //
11
// of the License, or (at your option) any later version.           //
12
//                                                                  //
13
// This program is distributed in the hope that it will be useful,  //
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of   //
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the    //
16
// GNU General Public License for more details.                     //
17
//                                                                  //
18
// If you have not received a copy of the GNU General Public License//
19
// along with this program; write to:                               //
20
//     Free Software Foundation, Inc.,                              //
21
//     51 Franklin Street, Fifth Floor,                             //
22
//     Boston, MA  02110-1301, USA.                                 //
23
//                                                                  //
24
//------------------------------------------------------------------//
25
// Revisions:                                                       //
26
// Ver 0.0.0.1     Jun 17, 2005   Initial Development Release       //
27 17 smpickett
//                                Based on "d_CharDecode.v"         //
28 11 smpickett
//                                                                  //
29
//==================================================================//
30
 
31
module CharacterDisplay(
32
    MASTER_CLK, MASTER_RST,
33
    CLK_VGA, HCNT, VCNT,
34 27 smpickett
    RGB_OUT,
35
    TIMESCALE, TRIGGERSTYLE,
36
    XCOORD, YCOORD
37 11 smpickett
    );
38
 
39
//==================================================================//
40
// PARAMETER DEFINITIONS                                            //
41
//==================================================================//
42
parameter P_black   = 3'b000;
43
parameter P_yellow  = 3'b110;
44
parameter P_cyan    = 3'b011;
45
parameter P_green   = 3'b010;
46
parameter P_white   = 3'b111;
47
parameter P_blue    = 3'b111;
48
 
49
//==================================================================//
50
// VARIABLE DEFINITIONS                                             //
51
//==================================================================//
52
//----------------------//
53
// INPUTS / OUTPUTS     //
54
//----------------------//
55
input MASTER_CLK;                // System wide clock
56
input MASTER_RST;               // System wide reset
57
input CLK_VGA;                  // Pixel Clk
58
input[9:0] HCNT;                // Horizontal Sync Counter
59
input[9:0] VCNT;                // Vertical Sync Counter
60
output[2:0] RGB_OUT;            // The RGB data
61 27 smpickett
input[3:0] TIMESCALE;           // TIMESCALE display
62
input[1:0] TRIGGERSTYLE;        // Style of Trigger
63
input[11:0] XCOORD;             // XCOORD display
64
input[11:0] YCOORD;             // XCOORD display
65 11 smpickett
 
66
 
67 27 smpickett
 
68 11 smpickett
//----------------------//
69
// WIRES / NODES        //
70
//----------------------//
71
wire MASTER_CLK, MASTER_RST, CLK_VGA;
72 27 smpickett
wire[9:0]  HCNT, VCNT;
73
reg [2:0]  RGB_OUT;
74
wire[3:0]  TIMESCALE;
75
wire[1:0]  TRIGGERSTYLE;
76
wire[11:0] XCOORD, YCOORD;
77 11 smpickett
 
78
 
79
 
80
//----------------------//
81
// REGISTERS            //
82
//----------------------//
83
reg[3:0] cnt_charPxls;
84 17 smpickett
reg[6:0] cnt_Hchar;
85 11 smpickett
reg[10:0] cnt_Vchar;
86 17 smpickett
wire     charRow1, charRow2, charRow3, charRow4, charRow5, charRow6, charRow7, charRow8;
87 11 smpickett
 
88
wire[10:0] addr_charRamRead;
89
wire[7:0]  data_charRamRead;
90
 
91
reg[7:0]   mask_charMap;
92
wire[10:0] addr_charMap;
93
wire[7:0]  data_charMap;
94
 
95
 
96
//==================================================================//
97
// FUNCTIONAL DEFINITIONS                                           //
98
//==================================================================//
99
 
100
 
101
 
102
//------------------------------------------------------------------//
103
// Character Input / Storage                                        //
104
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
105
// A useful description could go here!                              //
106
//------------------------------------------------------------------//
107
 
108
 
109
 
110
 
111
 
112
//------------------------------------------------------------------//
113
// Character Decode                                                 //
114
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
115
// A useful description could go here!                              //
116
//------------------------------------------------------------------//
117
 
118
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
119
// DECODE the Character RAM Address via HCNT and VCNT               //
120
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
121
 
122
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
123
    if(MASTER_RST) begin
124 17 smpickett
        cnt_charPxls <= 4'd5;
125
    end else if(HCNT >= 10'd1) begin //6
126 11 smpickett
        if(cnt_charPxls == 4'd0)
127 17 smpickett
            cnt_charPxls <= 4'd5;
128 11 smpickett
        else
129
            cnt_charPxls <= cnt_charPxls-1;
130
    end else begin
131 17 smpickett
        cnt_charPxls <= 4'd5;
132 11 smpickett
    end
133
end
134
 
135
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
136
    if(MASTER_RST) begin
137 17 smpickett
        cnt_Hchar <= 7'd0;
138
    end else if(HCNT >= 10'd1 && cnt_charPxls == 4'd0) begin
139
        if(cnt_Hchar == 7'd105)
140
            cnt_Hchar <= 7'd0;
141 11 smpickett
        else
142
            cnt_Hchar <= cnt_Hchar+1;
143 17 smpickett
    end else if(HCNT < 10'd1) begin
144
        cnt_Hchar <= 7'd0;
145 11 smpickett
    end else begin
146
        cnt_Hchar <= cnt_Hchar;
147
    end
148
end
149
 
150 17 smpickett
assign charRow1 = ((VCNT <= 10'd512) && (VCNT >= 10'd506));
151
assign charRow2 = ((VCNT <= 10'd503) && (VCNT >= 10'd497));
152
assign charRow3 = ((VCNT <= 10'd494) && (VCNT >= 10'd488));
153
assign charRow4 = ((VCNT <= 10'd485) && (VCNT >= 10'd479));
154
assign charRow5 = ((VCNT <= 10'd476) && (VCNT >= 10'd470));
155
assign charRow6 = ((VCNT <= 10'd467) && (VCNT >= 10'd461));
156
assign charRow7 = ((VCNT <= 10'd458) && (VCNT >= 10'd452));
157
assign charRow8 = ((VCNT <= 10'd449) && (VCNT >= 10'd443));
158 11 smpickett
 
159 17 smpickett
always @ (charRow1 or charRow2 or charRow3 or charRow4 or charRow5 or charRow6 or charRow7 or charRow8) begin
160 11 smpickett
         if(charRow1) cnt_Vchar = 11'd0;
161 17 smpickett
    else if(charRow2) cnt_Vchar = 11'd106;
162
    else if(charRow3) cnt_Vchar = 11'd212;
163
    else if(charRow4) cnt_Vchar = 11'd318;
164
    else if(charRow5) cnt_Vchar = 11'd424;
165
    else if(charRow6) cnt_Vchar = 11'd530;
166
    else if(charRow7) cnt_Vchar = 11'd636;
167
    else if(charRow8) cnt_Vchar = 11'd742;
168
    else              cnt_Vchar = 11'd0;
169 11 smpickett
end
170
 
171
assign addr_charRamRead = cnt_Vchar + cnt_Hchar;
172
 
173
 
174
 
175
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
176
// DECODE the Character Map via HCNT and VCNT and CHAR_DATA         //
177
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
178
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
179
    if(MASTER_RST) begin
180
        mask_charMap <= 8'd0;
181
    end else if(VCNT <= 10'd512) begin
182 17 smpickett
        if(HCNT == 10'd0) begin
183 11 smpickett
            if(mask_charMap == 8'd0)
184
                mask_charMap <= 8'b10000000;
185
            else
186
                mask_charMap <= mask_charMap >> 1;
187
        end else
188
            mask_charMap <= mask_charMap;
189
    end else begin
190
        mask_charMap <= 8'd0;
191
    end
192
end
193
 
194
 
195
 
196 17 smpickett
assign addr_charMap = ((data_charRamRead * 8'd5) + cnt_charPxls);
197 11 smpickett
 
198
 
199
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
200
// DECODE the VGA_OUTPUT via the Character Map                      //
201
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
202
reg[2:0] rgb_buf;
203
 
204 27 smpickett
always @ (mask_charMap or data_charMap or charRow1 or charRow2 or charRow3 or charRow4 or charRow5 or charRow6 or charRow7 or charRow8 or cnt_charPxls or HCNT) begin
205 17 smpickett
    if((charRow1 | charRow2 | charRow3 | charRow4 | charRow5 | charRow6 | charRow7 | charRow8) && ((mask_charMap & data_charMap) != 8'b0) && (cnt_charPxls != 4'd5) && (HCNT >= 10'd2) && (HCNT <= 10'd637))
206 11 smpickett
        rgb_buf = P_yellow;
207
    else
208
        rgb_buf = P_black;
209
end
210
always @ (posedge CLK_VGA) begin
211
    RGB_OUT <= rgb_buf;
212
end
213
 
214
 
215
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
216 27 smpickett
// VALUE DISPLAY                                                    //
217 11 smpickett
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
218
reg[10:0] test_cntAddr;
219
reg[7:0]  data_time;
220
 
221
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
222 27 smpickett
    if(MASTER_RST)                    test_cntAddr <= 11'd11;
223
    else if(test_cntAddr == 11'd15)   test_cntAddr <= 11'd117;
224
    else if(test_cntAddr == 11'd121)  test_cntAddr <= 11'd223;
225
    else if(test_cntAddr == 11'd228)  test_cntAddr <= 11'd327;
226
    else if(test_cntAddr == 11'd328)  test_cntAddr <= 11'd11;
227
    else                              test_cntAddr <= test_cntAddr + 1;
228 11 smpickett
end
229
 
230 27 smpickett
always @ (test_cntAddr or TIMESCALE or XCOORD or YCOORD or TRIGGERSTYLE) begin
231
             if(test_cntAddr == 11'd11)  begin data_time[7:4] = 4'h0; data_time[3:0] = XCOORD[11:8];
232
    end else if(test_cntAddr == 11'd12)  begin data_time[7:4] = 4'h0; data_time[3:0] = XCOORD[7:4];
233
    end else if(test_cntAddr == 11'd13)  begin data_time[7:4] = 4'h0; data_time[3:0] = XCOORD[3:0];
234
 
235
    end else if(test_cntAddr == 11'd117) begin data_time[7:4] = 4'h0; data_time[3:0] = YCOORD[11:8];
236
    end else if(test_cntAddr == 11'd118) begin data_time[7:4] = 4'h0; data_time[3:0] = YCOORD[7:4];
237
    end else if(test_cntAddr == 11'd119) begin data_time[7:4] = 4'h0; data_time[3:0] = YCOORD[3:0];
238
 
239
    end else if(test_cntAddr == 11'd228) begin data_time[7:4] = 4'h0; data_time[3:0] = TIMESCALE[3:0];
240
 
241
    end else if(test_cntAddr == 11'd327) begin if(TRIGGERSTYLE == 2'b00) data_time = 8'h2D; else data_time = 8'h2C;
242
    end else if(test_cntAddr == 11'd328) begin if(TRIGGERSTYLE == 2'b00) data_time = 8'h2E; else data_time = 8'h2F;
243
 
244
    end else                            data_time = 8'h24;
245 11 smpickett
end
246
 
247
 
248
 
249
 
250
 
251
 
252
 
253
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
254
// Character Decode RAM INSTANTIATION                               //
255
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
256
// A useful description could go here!                              //
257
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
258
wire VCC, GND;
259
assign VCC = 1'b1;
260
assign GND = 1'b0;
261
 
262
RAMB16_S9_S9 #(
263
//                  6666555555555544444444443333333333222222222211111111110000000000
264 17 smpickett
      .INIT_00(256'h920de29292928ee0101010fe449292927c668A9292660042FE02007C86BAC27C),
265 11 smpickett
//                  CCCCCCCCBBBBBBBBBBAAAAAAAAAA999999999988888888887777777777666666
266
      .INIT_01(256'h828282c6Fe9292926c7e9090907e609292927d6d9292926d808698a0C07d9292),
267 17 smpickett
//                  JJIIIIIIIIIIHHHHHHHHHHGGGGGGGGGGFFFFFFFFFFEEEEEEEEEEDDDDDDDDDDCC
268
      .INIT_02(256'h808282Fe8282Fe101010Fe7c829294deFe909090c0Fe929292c6FE8282827c7c),
269
//                  PPPPPPOOOOOOOOOONNNNNNNNNNMMMMMMMMMMLLLLLLLLLLKKKKKKKKKKJJJJJJJJ
270
      .INIT_03(256'h9090607C8282827CFe403804FeFe402040FeFe02020206Fe102844828482FC80),
271
//                  VVVVVVVVVVUUUUUUUUUUTTTTTTTTTTSSSSSSSSSSRRRRRRRRRRQQQQQQQQQQPPPP
272
      .INIT_04(256'hf8040204f8fC020202fCC080Fe80C0649292924c7e909894627C828A7C027C90),
273 27 smpickett
//                  BLOC!!!!!!!!!!--space---ZZZZZZZZZZYYYYYYYYYYXXXXXXXXXWWWWWWWWWWW
274
      .INIT_05(256'hffff00f6f600000000000000868a92a2c2c0201e20c0c628102cC6Fe040804Fe),
275
//                  TrigUp-|//////////\\\\\\\\\\::::::::::|---DN---||---UP---|BLOCKB
276
      .INIT_06(256'h147c5040020C1060808060100C02006C6C0000181c1e1c183070f07030FFFFFF),
277
//                                                  |-TSelDN-||-TrigDN-||-TSelUP-||-
278
      .INIT_07(256'h00000000000000000000000000000000beae82eafa40507c1404faea82aebe04),
279 11 smpickett
      .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
280
      .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
281
      .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
282
      .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
283
      .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
284
      .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
285
      .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
286
      .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
287
      .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
288
      .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
289
      .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
290
      .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
291
      .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
292
      .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
293
      .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
294
      .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
295
      .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
296
      .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
297
      .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
298
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
299
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
300
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
301
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
302
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
303
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
304
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
305
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
306
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
307
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
308
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
309
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
310
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
311
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
312
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
313
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
314
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
315
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
316
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
317
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
318
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
319
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
320
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
321
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
322
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
323
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
324
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
325
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
326
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
327
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
328
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
329
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
330
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
331
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
332
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
333
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
334
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
335
) RAM_Character_Map (
336
    .DOA(),         .DOB(data_charMap),
337
    .DOPA(),        .DOPB(),
338 17 smpickett
    .ADDRA(),       .ADDRB(addr_charMap),
339 11 smpickett
    .CLKA(GND),     .CLKB(MASTER_CLK),
340
    .DIA(8'b0),     .DIB(8'b0),
341
    .DIPA(GND),     .DIPB(GND),
342
    .ENA(GND),      .ENB(VCC),
343
    .WEA(GND),      .WEB(GND),
344
    .SSRA(GND),     .SSRB(GND)
345
    );
346
 
347 27 smpickett
//  A 0A  L 15  W     20  /      2B
348
//  B 0B  M 16  X     21  TrigUP 2C
349
//  C 0C  N 17  Y     22  TSelUP 2D
350
//  D 0D  O 18  Z     23  TrigDN 2E
351
//  E 0E  P 19  Space 24  TSelDN 2F
352
//  F 0F  Q 1A  !     25
353
//  G 10  R 1B  Block 26
354
//  H 11  S 1C  UpArr 27
355
//  I 12  T 1D  DnArr 28
356
//  J 13  U 1E  :     29
357
//  K 14  V 1F  \     2A
358 11 smpickett
 
359 27 smpickett
 
360
 
361
 
362 11 smpickett
RAMB16_S9_S9 #(
363 27 smpickett
//                                                  ##########   : X   R O S R U C  
364
      .INIT_00(256'h242424242424242424242424242424242424242424242921241B181C1B1E0C24),
365
//
366 17 smpickett
      .INIT_01(256'h2424242424242424242424242424242424242424242424242424242424242424),
367 27 smpickett
//
368 17 smpickett
      .INIT_02(256'h2424242424242424242424242424242424242424242424242424242424242424),
369 27 smpickett
//                              ##########   : Y                |- Line 1 end
370
      .INIT_03(256'h2424242424242424242424242922242424242424242426242424242424242424),
371
//
372
      .INIT_04(256'h2424242424242424242424242424242424242424242424242424242424242424),
373
    //.INIT_04(256'h201f1e1d1c1b1a191817161514131211100f0e0d0c0b0a090807060504030201),
374
//
375
      .INIT_05(256'h2424242424242424242424242424242424242424242424242424242424242424),
376
    //.INIT_05(256'h2424242424242424242424242424242424242424242B2A292827262524232221),
377
//                  ##   : E S A B   E M I T|- Line 2 end
378
      .INIT_06(256'h2424290E1C0A0B240E16121D2624242424242424242424242424242424242424),
379
//                                                    VV^^   V I D / S U############
380
      .INIT_07(256'h24242424242424242424242424242424242827241F120D2A1C1E242424242424),
381
//
382
      .INIT_08(256'h2424242424242424242424242424242424242424242424242424242424242424),
383
//                   T  |- Line 3 end
384
      .INIT_09(256'h1D24262424242424242424242424242424242424242424242424242424242424),
385
//                                                            ########   R E G G I R
386
      .INIT_0A(256'h24242424242424242424242424242424242424242424242424241B0E1010121B),
387
//
388
      .INIT_0B(256'h2424242424242424242424242424242424242424242424242424242424242424),
389
//
390
      .INIT_0C(256'h2424242424242424242424242424242424242424242424242424242424242424),
391
//                                                                  |- Line 4 end
392
      .INIT_0D(256'h2424242424242424242424242424242424242424242424242624242424242424),
393
//
394
      .INIT_0E(256'h2424242424242424242424242424242424242424242424242424242424242424),
395
//
396
      .INIT_0F(256'h2424242424242424242424242424242424242424242424242424242424242424),
397
//                                              |- Line 5 end
398
      .INIT_10(256'h2424242424242424242424242424262424242424242424242424242424242424),
399
//
400
      .INIT_11(256'h2424242424242424242424242424242424242424242424242424242424242424),
401
//
402
      .INIT_12(256'h2424242424242424242424242424242424242424242424242424242424242424),
403
//                          |- Line 6 end
404
      .INIT_13(256'h2424242426242424242424242424242424242424242424242424242424242424),
405
//
406
      .INIT_14(256'h2424242424242424242424242424242424242424242424242424242424242424),
407
//
408
      .INIT_15(256'h2424242424242424242424242424242424242424242424242424242424242424),
409
//
410
      .INIT_16(256'h2424242424242424242424242424242424242424242424242424242424242424),
411
//                                                                      |- Line 7 end
412
      .INIT_17(256'h2424242424242424242424242424242424242424242424242424262424242424),
413
//
414
      .INIT_18(256'h2424242424242424242424242424242424242424242424242424242424242424),
415
//
416
      .INIT_19(256'h2424242424242424242424242424242424242424242424242424242424242424),
417
//                                                  |- Line 8 end
418
      .INIT_1A(256'h0000000000000000000000000000000026242424242424242424242424242424),
419
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
420
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
421
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
422
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
423
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
424
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
425
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
426
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
427
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
428
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
429
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
430
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
431
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
432
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
433
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
434
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
435
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
436
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
437
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
438
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
439
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
440
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
441
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
442
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
443
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
444
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
445
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
446
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
447
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
448
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
449
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
450
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
451
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
452
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
453
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
454
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
455
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
456
) RAM_Character_Test (
457
    .DOA(),                 .DOB(data_charRamRead),
458
    .DOPA(),                .DOPB(),
459
    .ADDRA(test_cntAddr),   .ADDRB(addr_charRamRead),
460
    .CLKA(MASTER_CLK),      .CLKB(MASTER_CLK),
461
    .DIA(data_time),        .DIB(8'b0),
462
    .DIPA(GND),             .DIPB(GND),
463
    .ENA(VCC),              .ENB(VCC),
464
    .WEA(VCC),              .WEB(GND),
465
    .SSRA(GND),             .SSRB(GND)
466
    );
467
 
468
 
469
 
470
/*
471
RAMB16_S9_S9 #(
472
                                                        // P U   E L A C S   E M I T
473
      .INIT_00(256'h24242424242424242424242424242424242424191E240E150A0C1C240E16121D),
474
      .INIT_01(256'h2424242424242424242424242424242424242424242424242424242424242424),
475
      .INIT_02(256'h2424242424242424242424242424242424242424242424242424242424242424),
476
                                    // N D
477
      .INIT_03(256'h242424242424242424170D242424242424242424242424242424242424242424),
478 17 smpickett
      .INIT_04(256'h201f1e1d1c1b1a191817161514131211100f0e0d0c0b0a090807060504030201),
479
      .INIT_05(256'h2424242424242424242424242424242424242424242424242424242424232221),
480
      .INIT_06(256'h2424242424242424242424242424242424242424242424242424242424242424),
481
      .INIT_07(256'h2424242424242424242424242424242424242424242424242424242424242424),
482
      .INIT_08(256'h2424242424242424242424242424242424242424242424242424242424242424),
483
      .INIT_09(256'h2424242424242424242424242424242424242424242424242424242424242424),
484
      .INIT_0A(256'h2424242424242424242424250e17121b0e111d0a14241e1822240e1f18152412),
485
      .INIT_0B(256'h2424242424242424242424242424242424242424242424242424242424242424),
486
      .INIT_0C(256'h2424242424242424242424242424242424242424242424242424242424242424),
487
      .INIT_0D(256'h2424242424242424242424242424242424242424242424242424242424242424),
488
      .INIT_0E(256'h2424242424242424242424242424242424242424242424242424242424242424),
489
      .INIT_0F(256'h2424242424242424242424242424242424242424242424242424242424242424),
490
      .INIT_10(256'h2424242424242424242424242424242424242424242424242424242424242424),
491
      .INIT_11(256'h2424242424242424242424242424242424242424242424242424242424242424),
492
      .INIT_12(256'h2424242424242424242424242424242424242424242424242424242424242424),
493
      .INIT_13(256'h2424242424242424242424242424242424242424242424242424242424242424),
494
      .INIT_14(256'h2424242424242424242424242424242424242424242424242424242424242424),
495
      .INIT_15(256'h2424242424242424242424242424242424242424242424242424242424242424),
496
      .INIT_16(256'h2424242424242424242424242424242424242424242424242424242424242424),
497
      .INIT_17(256'h2424242424242424242424242424242424242424242424242424242424242424),
498
      .INIT_18(256'h2424242424242424242424242424242424242424242424242424242424242424),
499
      .INIT_19(256'h2424242424242424242424242424242424242424242424242424242424242424),
500
      .INIT_1A(256'h2424242424242424242424242424242424242424242424242424242424242424),
501 11 smpickett
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
502
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
503
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
504
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
505
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
506
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
507
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
508
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
509
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
510
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
511
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
512
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
513
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
514
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
515
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
516
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
517
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
518
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
519
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
520
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
521
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
522
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
523
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
524
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
525
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
526
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
527
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
528
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
529
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
530
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
531
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
532
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
533
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
534
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
535
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
536
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
537
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
538
) RAM_Character_Test (
539
    .DOA(),                 .DOB(data_charRamRead),
540
    .DOPA(),                .DOPB(),
541
    .ADDRA(test_cntAddr),   .ADDRB(addr_charRamRead),
542
    .CLKA(MASTER_CLK),      .CLKB(MASTER_CLK),
543
    .DIA(data_time),        .DIB(8'b0),
544
    .DIPA(GND),             .DIPB(GND),
545
    .ENA(VCC),              .ENB(VCC),
546
    .WEA(VCC),              .WEB(GND),
547
    .SSRA(GND),             .SSRB(GND)
548
    );
549 27 smpickett
*/
550 11 smpickett
 
551
 
552
 
553
 
554
 
555
 
556
 
557
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.