OpenCores
URL https://opencores.org/ocsvn/alu_with_selectable_inputs_and_outputs/alu_with_selectable_inputs_and_outputs/trunk

Subversion Repositories alu_with_selectable_inputs_and_outputs

[/] [alu_with_selectable_inputs_and_outputs/] [trunk/] [sv_files/] [simvision_directed_test.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dragos_don
# SimVision Command Script (Sat Jan 06 15:05:30 EET 2007)
2
#
3
# Version 05.50.s003
4
#
5
# You can restore this configuration with:
6
#
7
# simvision -input simvision_ac.sv
8
# or
9
# simvision -input simvision_ac.sv database1 database2 ...
10
#
11
 
12
#
13
# preferences
14
#
15
preferences set signal-type-colors {
16
        group #0000FF
17
        overlay #0000FF
18
        input #FFFF00
19
        output #FFA500
20
        inout #00FFFF
21
        internal #00FF00
22
        fiber #FF99FF
23
        errorsignal #FF0000
24
        assertion #FF0000
25
        unknown #FFFFFF
26
}
27
preferences set sb-syntax-types {
28
    {-name "VHDL/VHDL-AMS" -cleanname "vhdl" -extensions {.vhd .vhdl}}
29
    {-name "Verilog/Verilog-AMS" -cleanname "verilog" -extensions {.v .vams .vms .va}}
30
    {-name "C" -cleanname "c" -extensions {.c}}
31
    {-name "C++" -cleanname "c++" -extensions {.h .hpp .cc .cpp .CC}}
32
    {-name "SystemC" -cleanname "systemc" -extensions {.h .hpp .cc .cpp .CC}}
33
}
34
preferences set toolbar-Windows-SrcBrowser {
35
  usual
36
  hide icheck
37
}
38
preferences set key-bindings {
39
        Edit>Undo "Ctrl+Z"
40
        Edit>Redo "Ctrl+Y"
41
        Edit>Copy "Ctrl+C"
42
        Edit>Cut "Ctrl+X"
43
        Edit>Paste "Ctrl+V"
44
        Edit>Delete "Del"
45
        Select>All "Ctrl+A"
46
        Edit>Select>All "Ctrl+A"
47
        Edit>SelectAll "Ctrl+A"
48
        openDB "Ctrl+O"
49
        Simulation>Run "F2"
50
        Simulation>Next "F6"
51
        Simulation>Step "F5"
52
        #Schematic window
53
        View>Zoom>Fit "Alt+="
54
        View>Zoom>In "Alt+I"
55
        View>Zoom>Out "Alt+O"
56
        #Waveform Window
57
        View>Zoom>InX "Alt+I"
58
        View>Zoom>OutX "Alt+O"
59
        View>Zoom>FullX "Alt+="
60
        View>Zoom>InX_widget "I"
61
        View>Zoom>OutX_widget "O"
62
        View>Zoom>FullX_widget "="
63
        View>Zoom>FullY_widget "Y"
64
        View>Zoom>Cursor-Baseline "Alt+Z"
65
        View>Center "Alt+C"
66
        View>ExpandSequenceTime>AtCursor "Alt+X"
67
        View>CollapseSequenceTime>AtCursor "Alt+S"
68
        Edit>Create>Group "Ctrl+G"
69
        Edit>Ungroup "Ctrl+Shift+G"
70
        Edit>Create>Marker "Ctrl+M"
71
        Edit>Create>Condition "Ctrl+E"
72
        Edit>Create>Bus "Ctrl+W"
73
        Explore>NextEdge "Ctrl+]"
74
        Explore>PreviousEdge "Ctrl+["
75
        ScrollRight "Right arrow"
76
        ScrollLeft "Left arrow"
77
        ScrollUp "Up arrow"
78
        ScrollDown "Down arrow"
79
        PageUp "PageUp"
80
        PageDown "PageDown"
81
        TopOfPage "Home"
82
        BottomOfPage "End"
83
}
84
preferences set toolbar-Windows-WaveWindow {
85
  usual
86
  hide icheck
87
  position -pos 3
88
}
89
preferences set toolbar-Windows-WatchList {
90
  usual
91
  hide icheck
92
}
93
 
94
#
95
# databases
96
#
97
database require waves -hints {
98
#       file ./waves/waves.trn
99
#       file /home/student/pvlsi/dragos/proj_new1/waves/waves.trn
100
#       file ./waves_directed_test/waves_directed_test.trn
101
        file ../waves/waves_directed_test/waves_directed_test.trn
102
}
103
 
104
#
105
# groups
106
#
107
 
108
if {[catch {group new -name SELECTOR -overlay 0}] != ""} {
109
    group using SELECTOR
110
    group set -overlay 0
111
    group set -comment {}
112
    group clear 0 end
113
}
114
group insert \
115
    proj_directed_test.dut.selector.clk \
116
    proj_directed_test.dut.selector.res \
117
    proj_directed_test.dut.selector.stb \
118
    proj_directed_test.dut.selector.data_valid_in \
119
    {proj_directed_test.dut.selector.sel[1:0]} \
120
    {proj_directed_test.dut.selector.data_in_0[7:0]} \
121
    {proj_directed_test.dut.selector.data_in_1[7:0]} \
122
    {proj_directed_test.dut.selector.data_in_2[7:0]} \
123
    {proj_directed_test.dut.selector.data_out[7:0]} \
124
    proj_directed_test.dut.selector.data_valid_out \
125
    {proj_directed_test.dut.selector.reg_sel[1:0]} \
126
    proj_directed_test.dut.selector.stb_out
127
 
128
if {[catch {group new -name ALU -overlay 0}] != ""} {
129
    group using ALU
130
    group set -overlay 0
131
    group set -comment {}
132
    group clear 0 end
133
}
134
group insert \
135
    proj_directed_test.dut.alu.clk \
136
    proj_directed_test.dut.alu.res \
137
    proj_directed_test.dut.alu.alu_stb_in \
138
    proj_directed_test.dut.alu.alu_data_valid_in \
139
    {proj_directed_test.dut.alu.operator_type[3:0]} \
140
    {proj_directed_test.dut.alu.operator_symbol[2:0]} \
141
    {proj_directed_test.dut.alu.alu_data_in[7:0]} \
142
    {proj_directed_test.dut.alu.alu_result[15:0]} \
143
    proj_directed_test.dut.alu.result_parity \
144
    proj_directed_test.dut.alu.output_channel \
145
    proj_directed_test.dut.alu.alu_stb_out \
146
    proj_directed_test.dut.alu.executed_case_once \
147
    proj_directed_test.dut.alu.i \
148
    proj_directed_test.dut.alu.j
149
 
150
if {[catch {group new -name {Group 3} -overlay 0}] != ""} {
151
    group using {Group 3}
152
    group set -overlay 0
153
    group set -comment {}
154
    group clear 0 end
155
}
156
group insert \
157
    {proj_directed_test.dut.dmux.alu_result[15:0]} \
158
    proj_directed_test.dut.dmux.clk \
159
    proj_directed_test.dut.dmux.dmux_stb_in \
160
    {proj_directed_test.dut.dmux.out_0[15:0]} \
161
    {proj_directed_test.dut.dmux.out_1[15:0]} \
162
    proj_directed_test.dut.dmux.output_channel \
163
    proj_directed_test.dut.dmux.parity_0 \
164
    proj_directed_test.dut.dmux.parity_1 \
165
    proj_directed_test.dut.dmux.res \
166
    proj_directed_test.dut.dmux.result_parity \
167
    proj_directed_test.dut.dmux.valid_0 \
168
    proj_directed_test.dut.dmux.valid_1
169
 
170
if {[catch {group new -name DMUX -overlay 0}] != ""} {
171
    group using DMUX
172
    group set -overlay 0
173
    group set -comment {}
174
    group clear 0 end
175
}
176
group insert \
177
    proj_directed_test.dut.dmux.clk \
178
    proj_directed_test.dut.dmux.res \
179
    proj_directed_test.dut.dmux.dmux_stb_in \
180
    proj_directed_test.dut.dmux.output_channel \
181
    {proj_directed_test.dut.dmux.alu_result[15:0]} \
182
    proj_directed_test.dut.dmux.result_parity \
183
    {proj_directed_test.dut.dmux.out_0[15:0]} \
184
    {proj_directed_test.dut.dmux.out_1[15:0]} \
185
    proj_directed_test.dut.dmux.parity_0 \
186
    proj_directed_test.dut.dmux.parity_1 \
187
    proj_directed_test.dut.dmux.valid_0 \
188
    proj_directed_test.dut.dmux.valid_1
189
 
190
#
191
# mmaps
192
#
193
mmap new -reuse -name {Boolean as Logic} -contents {
194
{%c=FALSE -edgepriority 1 -shape low}
195
{%c=TRUE -edgepriority 1 -shape high}
196
}
197
mmap new -reuse -name {Example Map} -contents {
198
{%b=11???? -bgcolor orange -label REG:%x -linecolor yellow -shape bus}
199
{%x=1F -bgcolor red -label ERROR -linecolor white -shape EVENT}
200
{%x=2C -bgcolor red -label ERROR -linecolor white -shape EVENT}
201
{%x=* -label %x -linecolor gray -shape bus}
202
}
203
 
204
#
205
# Design Browser windows
206
#
207
if {[catch {window new WatchList -name "Design Browser 1" -geometry 1265x915+0+0}] != ""} {
208
    window geometry "Design Browser 1" 1265x915+0+0
209
}
210
window target "Design Browser 1" on
211
browser using {Design Browser 1}
212
browser set \
213
    -scope proj_directed_test.dut.dmux
214
browser yview see proj_directed_test.dut.dmux
215
browser timecontrol set -lock 0
216
 
217
#
218
# Waveform windows
219
#
220
if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1278x915+0+0}] != ""} {
221
    window geometry "Waveform 1" 1278x915+0+0
222
}
223
window target "Waveform 1" on
224
waveform using {Waveform 1}
225
waveform sidebar visibility partial
226
waveform set \
227
    -primarycursor TimeA \
228
    -signalnames name \
229
    -signalwidth 175 \
230
    -units ns \
231
    -valuewidth 116
232
cursor set -using TimeA -time 115ns
233
waveform baseline set -time 0
234
 
235
set groupId [waveform add -groups SELECTOR]
236
set glist [waveform hierarchy contents $groupId]
237
set id [lindex $glist 0]
238
foreach {name attrs} {
239
    proj_directed_test.dut.selector.clk {}
240
    proj_directed_test.dut.selector.res {}
241
    proj_directed_test.dut.selector.stb {}
242
    proj_directed_test.dut.selector.data_valid_in {}
243
    proj_directed_test.dut.selector.sel {}
244
    proj_directed_test.dut.selector.data_in_0 {}
245
    proj_directed_test.dut.selector.data_in_1 {}
246
    proj_directed_test.dut.selector.data_in_2 {-radix %x}
247
    proj_directed_test.dut.selector.data_out {-radix %x}
248
    proj_directed_test.dut.selector.data_valid_out {}
249
    proj_directed_test.dut.selector.reg_sel {}
250
    proj_directed_test.dut.selector.stb_out {}
251
} {
252
    set expected [ join [waveform signals -format native $id] ]
253
    if {[string equal $name $expected]} {
254
        if {$attrs != ""} {
255
            eval waveform format $id $attrs
256
        }
257
        set glist [lrange $glist 1 end]
258
        set id [lindex $glist 0]
259
    }
260
}
261
 
262
set groupId [waveform add -groups ALU]
263
set glist [waveform hierarchy contents $groupId]
264
set id [lindex $glist 0]
265
foreach {name attrs} {
266
    proj_directed_test.dut.alu.clk {}
267
    proj_directed_test.dut.alu.res {}
268
    proj_directed_test.dut.alu.alu_stb_in {}
269
    proj_directed_test.dut.alu.alu_data_valid_in {}
270
    proj_directed_test.dut.alu.operator_type {}
271
    proj_directed_test.dut.alu.operator_symbol {}
272
    proj_directed_test.dut.alu.alu_data_in {-radix %x}
273
    proj_directed_test.dut.alu.alu_result {-radix %x}
274
    proj_directed_test.dut.alu.result_parity {}
275
    proj_directed_test.dut.alu.output_channel {}
276
    proj_directed_test.dut.alu.alu_stb_out {}
277
    proj_directed_test.dut.alu.executed_case_once {}
278
    proj_directed_test.dut.alu.i {}
279
    proj_directed_test.dut.alu.j {}
280
} {
281
    set expected [ join [waveform signals -format native $id] ]
282
    if {[string equal $name $expected]} {
283
        if {$attrs != ""} {
284
            eval waveform format $id $attrs
285
        }
286
        set glist [lrange $glist 1 end]
287
        set id [lindex $glist 0]
288
    }
289
}
290
 
291
set groupId [waveform add -groups DMUX]
292
 
293
set id [waveform add -signals [list proj_directed_test.dut.dmux.i \
294
        proj_directed_test.dut.dmux.dmux_stb_in_was_1 ]]
295
 
296
waveform xview limits 0 200ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.