OpenCores
URL https://opencores.org/ocsvn/alu_with_selectable_inputs_and_outputs/alu_with_selectable_inputs_and_outputs/trunk

Subversion Repositories alu_with_selectable_inputs_and_outputs

[/] [alu_with_selectable_inputs_and_outputs/] [trunk/] [sv_files/] [simvision_random_test.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dragos_don
# SimVision Command Script (Sat Jan 06 15:05:30 EET 2007)
2
#
3
# Version 05.50.s003
4
#
5
# You can restore this configuration with:
6
#
7
# simvision -input simvision_ac.sv
8
# or
9
# simvision -input simvision_ac.sv database1 database2 ...
10
#
11
 
12
#
13
# preferences
14
#
15
preferences set signal-type-colors {
16
        group #0000FF
17
        overlay #0000FF
18
        input #FFFF00
19
        output #FFA500
20
        inout #00FFFF
21
        internal #00FF00
22
        fiber #FF99FF
23
        errorsignal #FF0000
24
        assertion #FF0000
25
        unknown #FFFFFF
26
}
27
preferences set sb-syntax-types {
28
    {-name "VHDL/VHDL-AMS" -cleanname "vhdl" -extensions {.vhd .vhdl}}
29
    {-name "Verilog/Verilog-AMS" -cleanname "verilog" -extensions {.v .vams .vms .va}}
30
    {-name "C" -cleanname "c" -extensions {.c}}
31
    {-name "C++" -cleanname "c++" -extensions {.h .hpp .cc .cpp .CC}}
32
    {-name "SystemC" -cleanname "systemc" -extensions {.h .hpp .cc .cpp .CC}}
33
}
34
preferences set toolbar-Windows-SrcBrowser {
35
  usual
36
  hide icheck
37
}
38
preferences set key-bindings {
39
        Edit>Undo "Ctrl+Z"
40
        Edit>Redo "Ctrl+Y"
41
        Edit>Copy "Ctrl+C"
42
        Edit>Cut "Ctrl+X"
43
        Edit>Paste "Ctrl+V"
44
        Edit>Delete "Del"
45
        Select>All "Ctrl+A"
46
        Edit>Select>All "Ctrl+A"
47
        Edit>SelectAll "Ctrl+A"
48
        openDB "Ctrl+O"
49
        Simulation>Run "F2"
50
        Simulation>Next "F6"
51
        Simulation>Step "F5"
52
        #Schematic window
53
        View>Zoom>Fit "Alt+="
54
        View>Zoom>In "Alt+I"
55
        View>Zoom>Out "Alt+O"
56
        #Waveform Window
57
        View>Zoom>InX "Alt+I"
58
        View>Zoom>OutX "Alt+O"
59
        View>Zoom>FullX "Alt+="
60
        View>Zoom>InX_widget "I"
61
        View>Zoom>OutX_widget "O"
62
        View>Zoom>FullX_widget "="
63
        View>Zoom>FullY_widget "Y"
64
        View>Zoom>Cursor-Baseline "Alt+Z"
65
        View>Center "Alt+C"
66
        View>ExpandSequenceTime>AtCursor "Alt+X"
67
        View>CollapseSequenceTime>AtCursor "Alt+S"
68
        Edit>Create>Group "Ctrl+G"
69
        Edit>Ungroup "Ctrl+Shift+G"
70
        Edit>Create>Marker "Ctrl+M"
71
        Edit>Create>Condition "Ctrl+E"
72
        Edit>Create>Bus "Ctrl+W"
73
        Explore>NextEdge "Ctrl+]"
74
        Explore>PreviousEdge "Ctrl+["
75
        ScrollRight "Right arrow"
76
        ScrollLeft "Left arrow"
77
        ScrollUp "Up arrow"
78
        ScrollDown "Down arrow"
79
        PageUp "PageUp"
80
        PageDown "PageDown"
81
        TopOfPage "Home"
82
        BottomOfPage "End"
83
}
84
preferences set toolbar-Windows-WaveWindow {
85
  usual
86
  hide icheck
87
  position -pos 3
88
}
89
preferences set toolbar-Windows-WatchList {
90
  usual
91
  hide icheck
92
}
93
 
94
#
95
# databases
96
#
97
database require waves -hints {
98
        file ./waves/waves.trn
99
        file /home/student/pvlsi/dragos/proj_new1/waves/waves.trn
100
        file /home/student/pvlsi/dragos/proj_new1/waves_random_test/waves_random_test.trn
101
}
102
 
103
#
104
# groups
105
#
106
 
107
if {[catch {group new -name SELECTOR -overlay 0}] != ""} {
108
    group using SELECTOR
109
    group set -overlay 0
110
    group set -comment {}
111
    group clear 0 end
112
}
113
group insert \
114
    proj_random_test.dut.selector.clk \
115
    proj_random_test.dut.selector.res \
116
    proj_random_test.dut.selector.stb \
117
    proj_random_test.dut.selector.data_valid_in \
118
    {proj_random_test.dut.selector.sel[1:0]} \
119
    {proj_random_test.dut.selector.data_in_0[7:0]} \
120
    {proj_random_test.dut.selector.data_in_1[7:0]} \
121
    {proj_random_test.dut.selector.data_in_2[7:0]} \
122
    {proj_random_test.dut.selector.data_out[7:0]} \
123
    proj_random_test.dut.selector.data_valid_out \
124
    {proj_random_test.dut.selector.reg_sel[1:0]} \
125
    proj_random_test.dut.selector.stb_out
126
 
127
if {[catch {group new -name ALU -overlay 0}] != ""} {
128
    group using ALU
129
    group set -overlay 0
130
    group set -comment {}
131
    group clear 0 end
132
}
133
group insert \
134
    proj_random_test.dut.alu.clk \
135
    proj_random_test.dut.alu.res \
136
    proj_random_test.dut.alu.alu_stb_in \
137
    proj_random_test.dut.alu.alu_data_valid_in \
138
    {proj_random_test.dut.alu.operator_type[3:0]} \
139
    {proj_random_test.dut.alu.operator_symbol[2:0]} \
140
    {proj_random_test.dut.alu.alu_data_in[7:0]} \
141
    {proj_random_test.dut.alu.alu_result[15:0]} \
142
    proj_random_test.dut.alu.result_parity \
143
    proj_random_test.dut.alu.output_channel \
144
    proj_random_test.dut.alu.alu_stb_out \
145
    proj_random_test.dut.alu.executed_case_once \
146
    proj_random_test.dut.alu.i \
147
    proj_random_test.dut.alu.j
148
 
149
if {[catch {group new -name {Group 3} -overlay 0}] != ""} {
150
    group using {Group 3}
151
    group set -overlay 0
152
    group set -comment {}
153
    group clear 0 end
154
}
155
group insert \
156
    {proj_random_test.dut.dmux.alu_result[15:0]} \
157
    proj_random_test.dut.dmux.clk \
158
    proj_random_test.dut.dmux.dmux_stb_in \
159
    {proj_random_test.dut.dmux.out_0[15:0]} \
160
    {proj_random_test.dut.dmux.out_1[15:0]} \
161
    proj_random_test.dut.dmux.output_channel \
162
    proj_random_test.dut.dmux.parity_0 \
163
    proj_random_test.dut.dmux.parity_1 \
164
    proj_random_test.dut.dmux.res \
165
    proj_random_test.dut.dmux.result_parity \
166
    proj_random_test.dut.dmux.valid_0 \
167
    proj_random_test.dut.dmux.valid_1
168
 
169
if {[catch {group new -name DMUX -overlay 0}] != ""} {
170
    group using DMUX
171
    group set -overlay 0
172
    group set -comment {}
173
    group clear 0 end
174
}
175
group insert \
176
    proj_random_test.dut.dmux.clk \
177
    proj_random_test.dut.dmux.res \
178
    proj_random_test.dut.dmux.dmux_stb_in \
179
    proj_random_test.dut.dmux.output_channel \
180
    {proj_random_test.dut.dmux.alu_result[15:0]} \
181
    proj_random_test.dut.dmux.result_parity \
182
    {proj_random_test.dut.dmux.out_0[15:0]} \
183
    {proj_random_test.dut.dmux.out_1[15:0]} \
184
    proj_random_test.dut.dmux.parity_0 \
185
    proj_random_test.dut.dmux.parity_1 \
186
    proj_random_test.dut.dmux.valid_0 \
187
    proj_random_test.dut.dmux.valid_1
188
 
189
#
190
# mmaps
191
#
192
mmap new -reuse -name {Boolean as Logic} -contents {
193
{%c=FALSE -edgepriority 1 -shape low}
194
{%c=TRUE -edgepriority 1 -shape high}
195
}
196
mmap new -reuse -name {Example Map} -contents {
197
{%b=11???? -bgcolor orange -label REG:%x -linecolor yellow -shape bus}
198
{%x=1F -bgcolor red -label ERROR -linecolor white -shape EVENT}
199
{%x=2C -bgcolor red -label ERROR -linecolor white -shape EVENT}
200
{%x=* -label %x -linecolor gray -shape bus}
201
}
202
 
203
#
204
# Design Browser windows
205
#
206
if {[catch {window new WatchList -name "Design Browser 1" -geometry 1265x915+0+0}] != ""} {
207
    window geometry "Design Browser 1" 1265x915+0+0
208
}
209
window target "Design Browser 1" on
210
browser using {Design Browser 1}
211
browser set \
212
    -scope proj_random_test.dut.dmux
213
browser yview see proj_random_test.dut.dmux
214
browser timecontrol set -lock 0
215
 
216
#
217
# Waveform windows
218
#
219
if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1278x915+0+0}] != ""} {
220
    window geometry "Waveform 1" 1278x915+0+0
221
}
222
window target "Waveform 1" on
223
waveform using {Waveform 1}
224
waveform sidebar visibility partial
225
waveform set \
226
    -primarycursor TimeA \
227
    -signalnames name \
228
    -signalwidth 175 \
229
    -units ns \
230
    -valuewidth 116
231
cursor set -using TimeA -time 115ns
232
waveform baseline set -time 0
233
 
234
set groupId [waveform add -groups SELECTOR]
235
set glist [waveform hierarchy contents $groupId]
236
set id [lindex $glist 0]
237
foreach {name attrs} {
238
    proj_random_test.dut.selector.clk {}
239
    proj_random_test.dut.selector.res {}
240
    proj_random_test.dut.selector.stb {}
241
    proj_random_test.dut.selector.data_valid_in {}
242
    proj_random_test.dut.selector.sel {}
243
    proj_random_test.dut.selector.data_in_0 {}
244
    proj_random_test.dut.selector.data_in_1 {}
245
    proj_random_test.dut.selector.data_in_2 {-radix %x}
246
    proj_random_test.dut.selector.data_out {-radix %x}
247
    proj_random_test.dut.selector.data_valid_out {}
248
    proj_random_test.dut.selector.reg_sel {}
249
    proj_random_test.dut.selector.stb_out {}
250
} {
251
    set expected [ join [waveform signals -format native $id] ]
252
    if {[string equal $name $expected]} {
253
        if {$attrs != ""} {
254
            eval waveform format $id $attrs
255
        }
256
        set glist [lrange $glist 1 end]
257
        set id [lindex $glist 0]
258
    }
259
}
260
 
261
set groupId [waveform add -groups ALU]
262
set glist [waveform hierarchy contents $groupId]
263
set id [lindex $glist 0]
264
foreach {name attrs} {
265
    proj_random_test.dut.alu.clk {}
266
    proj_random_test.dut.alu.res {}
267
    proj_random_test.dut.alu.alu_stb_in {}
268
    proj_random_test.dut.alu.alu_data_valid_in {}
269
    proj_random_test.dut.alu.operator_type {}
270
    proj_random_test.dut.alu.operator_symbol {}
271
    proj_random_test.dut.alu.alu_data_in {-radix %x}
272
    proj_random_test.dut.alu.alu_result {-radix %x}
273
    proj_random_test.dut.alu.result_parity {}
274
    proj_random_test.dut.alu.output_channel {}
275
    proj_random_test.dut.alu.alu_stb_out {}
276
    proj_random_test.dut.alu.executed_case_once {}
277
    proj_random_test.dut.alu.i {}
278
    proj_random_test.dut.alu.j {}
279
} {
280
    set expected [ join [waveform signals -format native $id] ]
281
    if {[string equal $name $expected]} {
282
        if {$attrs != ""} {
283
            eval waveform format $id $attrs
284
        }
285
        set glist [lrange $glist 1 end]
286
        set id [lindex $glist 0]
287
    }
288
}
289
 
290
set groupId [waveform add -groups DMUX]
291
 
292
set id [waveform add -signals [list proj_random_test.dut.dmux.i \
293
        proj_random_test.dut.dmux.dmux_stb_in_was_1 ]]
294
 
295
waveform xview limits 0 200ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.