OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [Am9080/] [Am25LS153.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 zpekic
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date: 09/24/2017 10:11:13 AM
6
-- Design Name: 
7
-- Module Name: Am25LS153 - Behavioral
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool Versions: 
11
-- Description: 
12
-- 
13
-- Dependencies: 
14
-- 
15
-- Revision:
16
-- Revision 0.01 - File Created
17
-- Additional Comments:
18
-- 
19
----------------------------------------------------------------------------------
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
 
25
-- Uncomment the following library declaration if using
26
-- arithmetic functions with Signed or Unsigned values
27
--use IEEE.NUMERIC_STD.ALL;
28
 
29
-- Uncomment the following library declaration if instantiating
30
-- any Xilinx leaf cells in this code.
31
--library UNISIM;
32
--use UNISIM.VComponents.all;
33
 
34
entity Am25LS153 is
35
    Port ( sel : in STD_LOGIC_VECTOR (1 downto 0);
36
           n1G : in STD_LOGIC;
37
           n2G : in STD_LOGIC;
38
           in1 : in STD_LOGIC_VECTOR (3 downto 0);
39
           in2 : in STD_LOGIC_VECTOR (3 downto 0);
40
           out1 : out STD_LOGIC;
41
           out2 : out STD_LOGIC);
42
end Am25LS153;
43
 
44
architecture Behavioral of Am25LS153 is
45
 
46
signal y1, y2: std_logic;
47
 
48
begin
49
 
50
selection: process(sel, in1, in2)
51
begin
52
    case sel is
53
        when "00" =>
54
            y1 <= in1(0);
55
            y2 <= in2(0);
56
        when "01" =>
57
            y1 <= in1(1);
58
            y2 <= in2(1);
59
        when "10" =>
60
            y1 <= in1(2);
61
            y2 <= in2(2);
62
        when "11" =>
63
            y1 <= in1(3);
64
            y2 <= in2(3);
65
        when others =>
66
            null;
67
    end case;
68
end process;
69
 
70
out1 <= y1 when (n1G = '0') else '0';
71
out2 <= y2 when (n2G = '0') else '0';
72
 
73
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.