OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [Am9080/] [Am25LS257.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 zpekic
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date: 09/24/2017 10:11:13 AM
6
-- Design Name: 
7
-- Module Name: Am25LS257 - Behavioral
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool Versions: 
11
-- Description: 
12
-- 
13
-- Dependencies: 
14
-- 
15
-- Revision:
16
-- Revision 0.01 - File Created
17
-- Additional Comments:
18
-- 
19
----------------------------------------------------------------------------------
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
 
25
-- Uncomment the following library declaration if using
26
-- arithmetic functions with Signed or Unsigned values
27
--use IEEE.NUMERIC_STD.ALL;
28
 
29
-- Uncomment the following library declaration if instantiating
30
-- any Xilinx leaf cells in this code.
31
--library UNISIM;
32
--use UNISIM.VComponents.all;
33
 
34
entity Am25LS257 is
35
    Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
36
           b : in STD_LOGIC_VECTOR (3 downto 0);
37
           s : in STD_LOGIC;
38
           nOE : in STD_LOGIC;
39
           y : out STD_LOGIC_VECTOR (3 downto 0));
40
end Am25LS257;
41
 
42
architecture Behavioral of Am25LS257 is
43
 
44
signal y_internal: std_logic_vector(3 downto 0);
45
 
46
begin
47
 
48
y_internal <= a when (s = '0') else b;
49
y <= y_internal when (nOE = '0') else "ZZZZ";
50
 
51
end Behavioral;
52
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.