OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [Am9080/] [Am25LS374.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 zpekic
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date: 09/24/2017 10:11:13 AM
6
-- Design Name: 
7
-- Module Name: Am25LS374 - Behavioral
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool Versions: 
11
-- Description: 
12
-- 
13
-- Dependencies: 
14
-- 
15
-- Revision:
16
-- Revision 0.01 - File Created
17
-- Additional Comments:
18
-- 
19
----------------------------------------------------------------------------------
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
 
25
-- Uncomment the following library declaration if using
26
-- arithmetic functions with Signed or Unsigned values
27
--use IEEE.NUMERIC_STD.ALL;
28
 
29
-- Uncomment the following library declaration if instantiating
30
-- any Xilinx leaf cells in this code.
31
--library UNISIM;
32
--use UNISIM.VComponents.all;
33
 
34
entity Am25LS374 is
35
    Port ( clk : in STD_LOGIC;
36
           nOE : in STD_LOGIC;
37
           d : in STD_LOGIC_VECTOR (7 downto 0);
38
           y : out STD_LOGIC_VECTOR (7 downto 0)
39
                         );
40
end Am25LS374;
41
 
42
architecture Behavioral of Am25LS374 is
43
 
44
signal q: std_logic_vector(7 downto 0);
45
 
46
begin
47
 
48
update_q: process(clk, d)
49
begin
50
    if (rising_edge(clk)) then
51
        q <= d;
52
    end if;
53
end process;
54
 
55
y <= q when (nOE = '0') else "ZZZZZZZZ";
56
 
57
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.