OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [Am9080/] [prom/] [microcode.lst] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 zpekic
-----------------------------------------------------------------------------------------
2
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
3
-----------------------------------------------------------------------------------------
4
0000 - m 000000111000 C/R    - TRUE 0 NOC    -- INTE 0 11 0 1 16 R_PC R_PC RAMF  AND   ZA
5
0001 - - 000000000000 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 R38Z RAMF  OR    DZ
6
0002 - - 000000000000 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 RZ38 RAMF  AND   ZA
7
0003 - - 000000000000 R/PUSH ! TRUE 0 NOC    -- ADDR 0 11 0 1 8  RAS1 RAS1 RAMF  AND   ZA
8
0004 i - = location = C/R    - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
9
0005 - - 000000001100 D/R    ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
10
0006 (uninitialized)
11
0007 (uninitialized)
12
0008 (uninitialized)
13
0009 (uninitialized)
14
000A - - = location = R/RTN  - HOLD 0 HLDA   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
15
000B - - = location = R/F    - HOLD 0 HLDA   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
16
000C - - = location = D/R    ! HOLD 0 HLDA   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
17
000D - - = location = R/RTN  ! RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
18
000E - - = location = R/RTN  ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
19
000F - - = location = R/F    ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
20
0010 - - = location = R/F    ! RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
21
0011 - - = location = R/RTN  ! RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  OR    ZA
22
0012 - - = location = R/RTN  ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_SP R_SP RAMF  OR    ZA
23
0013 - - = location = R/RTN  ! RDY  0 MEMW   YL ADDR 0 11 0 1 16 R_SP R_SP RAMF  OR    ZA
24
0014 - - 000000001011 R/F    - HOLD 1 NOC    -- ---- 1 11 0 1 8  RAS1 RAS1 RAMF  OR    ZA
25
0015 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
26
0016 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 1 11 0 1 8  RAS1 RAS1 NOP   OR    ZA
27
0017 - - = location = R/F    ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
28
0018 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
29
0019 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
30
001A - - 000000001011 R/F    - HOLD 1 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
31
001B - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
32
001C - - 000000001011 R/F    - HOLD 1 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
33
001D - - 111111111111 R/F    ! TRUE 0 HLDA   FL INTE 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
34
001E - - 000000001101 C/SBR  - RDY  0 MEMR   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
35
001F - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
36
-----------------------------------------------------------------------------------------
37
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
38
-----------------------------------------------------------------------------------------
39
0020 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
40
0021 - - 000000001111 R/F    ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
41
0022 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
42
0023 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_CB RAMF  OR    DZ
43
0024 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
44
0025 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_BC RAMF  OR    DZ
45
0026 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
46
0027 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 RAS1 RAMF  OR    DZ
47
0028 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
48
0029 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
49
002A - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 RAS1 RAS1 NOP   OR    ZA
50
002B - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
51
002C - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  R_A? R_A? RAMF  OR    DZ
52
002D - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
53
002E - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 RAS1 RAMF  OR    DZ
54
002F - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
55
0030 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
56
0031 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 RAS1 RAS1 RAMF  OR    ZA
57
0032 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 8  R_A? R_A? RAMF  OR    ZA
58
0033 - - 000000001111 R/F    ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
59
0034 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 0 8  RAS1 R_A? RAMF  ADD   AB
60
0035 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
61
0036 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
62
0037 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 0 8  R_A? R_A? RAMF  ADD   DA
63
0038 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
64
0039 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 0 8  R_A? R_A? RAMF  ADD   DA
65
003A - - 000000110100 C/R    - CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
66
003B - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 1 8  RAS1 R_A? RAMF  ADD   AB
67
003C - - 000000110110 C/R    - CY   0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
68
003D - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
69
003E - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  ADD   DA
70
003F - - 000000111000 C/R    - CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
71
-----------------------------------------------------------------------------------------
72
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
73
-----------------------------------------------------------------------------------------
74
0040 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
75
0041 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  ADD   DA
76
0042 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
77
0043 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 RAS1 RAMF  OR    DZ
78
0044 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
79
0045 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
80
0046 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 RAS1 R_PC RAMA  OR    ZA
81
0047 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
82
0048 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 RAS1 RAMF  OR    DZ
83
0049 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
84
004A - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
85
004B - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
86
004C - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
87
004D - - 000000010010 C/SBR  - RDY  0 MEMW   YH ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
88
004E - - = location = R/F    ! RDY  0 MEMW   YL ADDR 0 11 0 1 16 RAS1 R_PC RAMF  OR    ZA
89
004F - - 111111111111 R/F    ! TRUE 0 HLDA   FL INTE 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
90
0050 - - 000000010001 C/SBR  ! TRUE 0 NOC    -- ADDR 0 11 0 1 16 R_SP R_SP RAMA  ADD   ZA
91
0051 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 R_PC R_PC RAMF  OR    DZ
92
0052 - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMA  ADD   ZA
93
0053 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  R_PC R_PC RAMF  OR    DZ
94
0054 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC NOP   OR    ZA
95
0055 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RZ38 RAS1 RAMF  AND   DA
96
0056 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
97
0057 - - 000000010010 C/SBR  ! TRUE 0 NOC    -- ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
98
0058 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
99
0059 - - = location = R/F    ! RDY  0 MEMW   YL ADDR 0 11 0 1 16 RAS1 R_PC RAMF  OR    ZA
100
005A - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 01 0 1 8  R_A? R_A? RAMU  OR    ZA
101
005B - - 000010011100 R/F    - F3   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
102
005C - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 01 0 1 8  RAS1 RAS1 NOP   OR    DZ
103
005D - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 01 0 1 8  R_A? R_A? RAMD  OR    ZA
104
005E - - 000001011011 C/R    ! TRUE 0 NOC    -- ---- 0 11 0 1 8  R_A? RAS1 NOP   OR    ZA
105
005F - - 000001011011 C/R    ! TRUE 0 NOC    -- ---- 0 01 1 1 8  R_A? R_A? RAMU  OR    ZA
106
-----------------------------------------------------------------------------------------
107
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
108
-----------------------------------------------------------------------------------------
109
0060 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  R_A? R_A? RAMD  OR    ZA
110
0061 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  R_A? R_A? RAMU  OR    ZA
111
0062 - - 000010011100 R/F    - F3   0 NOC    -- ---- 0 01 1 1 8  R_A? R_A? RAMD  OR    ZA
112
0063 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 01 0 1 8  RAS1 RAS1 NOP   OR    DZ
113
0064 - - 000000001010 C/SBR  ! HOLD 1 NOC    -- DATA 0 11 0 1 16 RAS1 RAS1 NOP   OR    ZB
114
0065 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
115
0066 - - 000000010010 C/SBR  - RDY  0 MEMW   YH ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
116
0067 - - = location = R/F    ! RDY  0 MEMW   YL ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
117
0068 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 8  R_A? RAS1 NOP   OR    ZA
118
0069 - - 000000010010 C/SBR  ! TRUE 0 NOC    -- ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
119
006A - - 000001101011 R/F    - TRUE 0 NOC    -- ADDR 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
120
006B - - 000000010010 C/SBR  - RDY  0 MEMW   FL ---- 0 11 0 0 8  RAS1 RAS1 NOP   EXNOR DZ
121
006C - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  OR    ZA
122
006D - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 R_BC RAMF  ADD   ZB
123
006E - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 1 1 16 R_BC R_CB RAMA  OR    DZ
124
006F - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 0 16 RAS1 R_BC RAMF  SUBR  ZB
125
0070 - - 000000001011 R/F    - HOLD 1 NOC    -- ---- 1 11 1 1 16 R_BC R_CB RAMA  OR    DZ
126
0071 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 01 0 0 16 R_BC R_HL RAMF  ADD   AB
127
0072 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 1 1 16 R_HL R_LH RAMA  OR    DZ
128
0073 - - 000001110010 C/R    ! TRUE 0 NOC    -- ---- 0 01 0 0 16 R_DE R_HL RAMF  ADD   AB
129
0074 - - 000001110010 C/R    ! TRUE 0 NOC    -- ---- 0 01 0 0 16 R_HL R_HL RAMF  ADD   AB
130
0075 - - 000001110010 C/R    ! TRUE 0 NOC    -- ---- 0 01 0 0 16 R_SP R_HL RAMF  ADD   AB
131
0076 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 1 8  RAS1 R_A? NOP   SUBR  AB
132
0077 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
133
0078 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? RAS1 NOP   SUBR  DA
134
0079 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
135
007A - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
136
007B - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? RAS1 NOP   SUBR  DA
137
007C - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_SP R_SP RAMA  OR    ZA
138
007D - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
139
007E - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_CB RAMF  OR    DZ
140
007F - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
141
-----------------------------------------------------------------------------------------
142
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
143
-----------------------------------------------------------------------------------------
144
0080 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_BC RAMF  OR    DZ
145
0081 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
146
0082 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
147
0083 - - 000010000010 C/R    - INT  0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
148
0084 - - 000000000000 C/R    - TRUE 0 INTA   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
149
0085 - - 000001010110 C/R    ! TRUE 0 INTA   -- ---- 0 11 0 1 16 RZ38 RAS1 RAMA  AND   DA
150
0086 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
151
0087 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
152
0088 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 8  RAS1 RAS1 NOP   OR    DZ
153
0089 - - = location = C/R    - RDY  0 IOR    -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
154
008A - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_A? RAMF  OR    DZ
155
008B - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 8  R_A? RAS1 NOP   OR    ZA
156
008C - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
157
008D - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 8  RAS1 RAS1 NOP   OR    DZ
158
008E - - = location = R/F    ! RDY  0 IOW    YH ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
159
008F - - 000000001011 R/F    - HOLD 0 NOC    -- INTE 0 11 0 1 8  RZ38 RAS1 NOP   EXNOR ZA
160
0090 - - 000000001011 R/F    - HOLD 0 NOC    -- INTE 0 11 0 1 8  RAS1 RAS1 NOP   AND   ZA
161
0091 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 16 R_HL R_SP RAMF  OR    ZA
162
0092 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
163
0093 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_SP RAS1 NOP   OR    ZA
164
0094 - - = location = C/R    - RDY  0 MEMR   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
165
0095 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_LH RAMF  OR    DZ
166
0096 - - 000000010011 C/SBR  - RDY  0 MEMW   YL ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
167
0097 - - = location = C/R    - RDY  0 MEMR   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
168
0098 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_HL RAMF  OR    DZ
169
0099 - - = location = C/R    - RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
170
009A - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 0 16 R_SP R_SP RAMF  SUBR  ZA
171
009B - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL R_PC RAMF  OR    ZA
172
009C - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 01 0 0 8  RZ38 RAS1 NOP   SUBR  ZA
173
009D - - 000010011100 R/F    ! CY   0 NOC    -- ---- 0 01 0 1 8  RAS1 RAS1 NOP   AND   ZA
174
009E - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 01 0 0 8  RAS1 RAS1 NOP   AND   ZA
175
009F - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 1 8  RAS1 R_A? RAMF  AND   AB
176
-----------------------------------------------------------------------------------------
177
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
178
-----------------------------------------------------------------------------------------
179
00A0 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 1 8  RAS1 R_A? RAMF  EXOR  AB
180
00A1 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 1 8  RAS1 R_A? RAMF  OR    AB
181
00A2 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
182
00A3 - - = location = C/R    - RDY  0 MEMR   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
183
00A4 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 10 0 0 8  RAS1 RAS1 NOP   SUBS  DZ
184
00A5 - - = location = R/F    ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
185
00A6 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
186
00A7 - - = location = C/R    - RDY  0 MEMR   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
187
00A8 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 10 0 1 8  RAS1 RAS1 NOP   ADD   DZ
188
00A9 - - = location = R/F    ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
189
00AA - - 000000001011 R/F    - HOLD 1 NOC    -- ---- 0 10 0 0 8  RAS1 RAS1 RAMF  SUBR  ZB
190
00AB - - 000000001011 R/F    - HOLD 1 NOC    -- ---- 0 10 0 1 8  RAS1 RAS1 RAMF  ADD   ZB
191
00AC - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 1 8  RAS1 R_A? RAMF  SUBR  AB
192
00AD - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
193
00AE - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
194
00AF - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  SUBR  DA
195
00B0 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
196
00B1 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  SUBR  DA
197
00B2 - - 000010101100 C/R    - CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
198
00B3 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 1 00 0 0 8  RAS1 R_A? RAMF  SUBR  AB
199
00B4 - - 000010101110 C/R    - CY   0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
200
00B5 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
201
00B6 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 0 8  R_A? R_A? RAMF  SUBR  DA
202
00B7 - - 000010110000 C/R    - CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
203
00B8 - - 000101011101 C/R    ! TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
204
00B9 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
205
00BA - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
206
00BB - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  AND   DA
207
00BC - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
208
00BD - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
209
00BE - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  EXOR  DA
210
00BF - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
211
-----------------------------------------------------------------------------------------
212
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
213
-----------------------------------------------------------------------------------------
214
00C0 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
215
00C1 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  OR    DA
216
00C2 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
217
00C3 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  AND   DA
218
00C4 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
219
00C5 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  EXOR  DA
220
00C6 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
221
00C7 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 1 8  R_A? R_A? RAMF  OR    DA
222
00C8 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  R_A? R_A? RAMF  EXNOR ZA
223
00C9 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
224
00CA - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 RAS1 RAMF  OR    DZ
225
00CB - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
226
00CC - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
227
00CD - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 RAS1 RAS1 RAMF  OR    ZA
228
00CE - - = location = C/R    - RDY  0 MEMR   -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
229
00CF - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_LH RAMF  OR    DZ
230
00D0 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 RAS1 RAS1 RAMF  ADD   ZA
231
00D1 - - = location = C/R    - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
232
00D2 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_HL RAMF  OR    DZ
233
00D3 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
234
00D4 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 RAS1 RAMF  OR    DZ
235
00D5 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
236
00D6 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
237
00D7 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 RAS1 RAS1 RAMF  OR    ZA
238
00D8 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 16 R_HL RAS1 NOP   OR    ZA
239
00D9 - - = location = C/R    - RDY  0 MEMW   YL ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
240
00DA - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 RAS1 RAS1 RAMF  ADD   ZA
241
00DB - - = location = R/F    ! RDY  0 MEMW   YH ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
242
00DC - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_BC RAS1 NOP   OR    ZA
243
00DD - - = location = C/R    - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
244
00DE - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_A? RAMF  OR    DZ
245
00DF - - 000000001010 C/SBR  ! HOLD 1 NOC    -- ADDR 0 11 0 1 16 RAS1 RAS1 NOP   OR    ZB
246
-----------------------------------------------------------------------------------------
247
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
248
-----------------------------------------------------------------------------------------
249
00E0 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- DATA 0 11 0 1 8  R_A? RAS1 NOP   OR    ZA
250
00E1 - - 000000001011 R/F    - HOLD 0 MEMW   YH ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
251
00E2 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 R_DE RAS1 RAMF  OR    ZA
252
00E3 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 R_HL R_DE RAMF  OR    ZA
253
00E4 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 R_HL RAMF  OR    ZA
254
00E5 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
255
00E6 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_ED RAMF  OR    DZ
256
00E7 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
257
00E8 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_DE RAMF  OR    DZ
258
00E9 - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
259
00EA - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_LH RAMF  OR    DZ
260
00EB - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
261
00EC - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_HL RAMF  OR    DZ
262
00ED - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
263
00EE - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 R_SP RAMF  OR    DZ
264
00EF - - 000000011101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
265
00F0 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_SP RAMF  OR    DZ
266
00F1 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 R_DE RAMF  ADD   ZB
267
00F2 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 1 1 16 R_DE R_ED RAMA  OR    DZ
268
00F3 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 R_HL RAMF  ADD   ZB
269
00F4 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 1 1 16 R_HL R_LH RAMA  OR    DZ
270
00F5 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 16 RAS1 R_SP RAMF  ADD   ZB
271
00F6 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 0 16 RAS1 R_DE RAMF  SUBR  ZB
272
00F7 - - 000000001011 R/F    - HOLD 1 NOC    -- ---- 1 11 1 1 16 R_DE R_ED RAMA  OR    DZ
273
00F8 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 0 16 RAS1 R_HL RAMF  SUBR  ZB
274
00F9 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 1 1 16 R_HL R_LH RAMA  OR    DZ
275
00FA - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 0 16 RAS1 R_SP RAMF  SUBR  ZB
276
00FB - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_SP R_SP RAMA  OR    ZA
277
00FC - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
278
00FD - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_ED RAMF  OR    DZ
279
00FE - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
280
00FF - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_DE RAMF  OR    DZ
281
-----------------------------------------------------------------------------------------
282
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
283
-----------------------------------------------------------------------------------------
284
0100 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
285
0101 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_SP R_SP RAMA  OR    ZA
286
0102 - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
287
0103 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_LH RAMF  OR    DZ
288
0104 - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
289
0105 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_HL RAMF  OR    DZ
290
0106 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
291
0107 - - 000001000010 C/R    - Z    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
292
0108 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
293
0109 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
294
010A - - 000001000111 C/R    - Z    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
295
010B - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
296
010C - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
297
010D - - 000001010000 R/F    ! Z    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
298
010E - - 000001000010 C/R    ! Z    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
299
010F - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
300
0110 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
301
0111 - - 000001000111 C/R    ! Z    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
302
0112 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
303
0113 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
304
0114 - - 000001010000 R/F    - Z    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
305
0115 - - 000001000010 C/R    - CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
306
0116 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
307
0117 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
308
0118 - - 000001000111 C/R    - CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
309
0119 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
310
011A - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
311
011B - - 000001010000 R/F    ! CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
312
011C - - 000001000010 C/R    ! CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
313
011D - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
314
011E - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
315
011F - - 000001000111 C/R    ! CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
316
-----------------------------------------------------------------------------------------
317
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
318
-----------------------------------------------------------------------------------------
319
0120 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
320
0121 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
321
0122 - - 000001010000 R/F    - CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
322
0123 - - 000001000010 C/R    - P    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
323
0124 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
324
0125 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
325
0126 - - 000001000111 C/R    - P    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
326
0127 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
327
0128 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
328
0129 - - 000001010000 R/F    ! P    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
329
012A - - 000001000010 C/R    ! P    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
330
012B - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
331
012C - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
332
012D - - 000001000111 C/R    ! P    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
333
012E - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
334
012F - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
335
0130 - - 000001010000 R/F    - P    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
336
0131 - - 000001000010 C/R    - S    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
337
0132 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
338
0133 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
339
0134 - - 000001000111 R/F    ! S    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
340
0135 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
341
0136 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
342
0137 - - 000001010000 C/R    - S    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
343
0138 - - 000001000010 C/R    ! S    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
344
0139 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
345
013A - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
346
013B - - 000001000111 C/R    ! S    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
347
013C - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
348
013D - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
349
013E - - 000001010000 R/F    - S    0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
350
013F - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
351
-----------------------------------------------------------------------------------------
352
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
353
-----------------------------------------------------------------------------------------
354
0140 - m 000000000110 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
355
0141 - - 000101000110 C/R    ! AC   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
356
0142 - m 000000001111 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
357
0143 - m 000000001010 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  R_A? RAS1 QREG  AND   DA
358
0144 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   SUBR  DQ
359
0145 - - 000101000111 C/R    - CN4  0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
360
0146 - - 000101011111 C/SBR  ! CY   0 NOC    -- ---- 0 00 0 0 8  RAS1 R_A? RAMF  ADD   AB
361
0147 - m 000001100000 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
362
0148 - - 000101001111 C/R    ! CY   0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 RAMF  OR    DZ
363
0149 - m 000011110000 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
364
014A - m 000010100000 C/R    - TRUE 0 NOC    -- ---- 0 11 0 1 8  R_A? RAS1 QREG  AND   DA
365
014B - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   SUBR  DQ
366
014C - - 000101001110 C/R    - CN4  0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
367
014D - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 00 0 0 8  RAS1 R_A? RAMF  ADD   AB
368
014E - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
369
014F - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 10 0 0 8  RAS1 R_A? RAMF  ADD   AB
370
0150 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_SP R_SP RAMA  OR    ZA
371
0151 - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
372
0152 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   NOTRS DZ
373
0153 - - 000000010001 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_SP R_SP RAMF  ADD   ZA
374
0154 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_A? RAMF  OR    DZ
375
0155 - - 000000001011 R/F    - HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
376
0156 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ADDR 0 11 0 1 16 R_DE RAS1 NOP   OR    ZA
377
0157 - - = location = C/R    - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC RAS1 NOP   OR    ZA
378
0158 - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 0 1 8  RAS1 R_A? RAMF  OR    DZ
379
0159 - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 1 1 16 R_DE R_LH RAMA  OR    DZ
380
015A - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 0 1 16 R_HL R_DE RAMA  OR    ZA
381
015B - - 000000001010 C/SBR  ! HOLD 0 NOC    -- ---- 0 11 1 1 16 R_LH R_HL RAMA  OR    DZ
382
015C - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 11 1 1 16 R_DE R_ED RAMA  OR    DZ
383
015D - - 000000001101 C/SBR  - RDY  0 MEMR   -- ADDR 0 11 0 1 16 R_PC R_PC RAMF  ADD   ZA
384
015E - - 000000001011 R/F    - HOLD 0 NOC    -- ---- 0 00 0 0 8  R_A? R_A? RAMF  SUBR  DA
385
015F - - 000000000000 R/RTN  ! TRUE 0 NOC    -- ---- 0 01 0 0 8  RZ38 RAS1 NOP   SUBR  ZA
386
-----------------------------------------------------------------------------------------
387
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
388
-----------------------------------------------------------------------------------------
389
0160 (uninitialized)
390
0161 (uninitialized)
391
0162 (uninitialized)
392
0163 (uninitialized)
393
0164 (uninitialized)
394
0165 (uninitialized)
395
0166 (uninitialized)
396
0167 (uninitialized)
397
0168 (uninitialized)
398
0169 (uninitialized)
399
016A (uninitialized)
400
016B (uninitialized)
401
016C (uninitialized)
402
016D (uninitialized)
403
016E (uninitialized)
404
016F (uninitialized)
405
0170 (uninitialized)
406
0171 (uninitialized)
407
0172 (uninitialized)
408
0173 (uninitialized)
409
0174 (uninitialized)
410
0175 (uninitialized)
411
0176 (uninitialized)
412
0177 (uninitialized)
413
0178 (uninitialized)
414
0179 (uninitialized)
415
017A (uninitialized)
416
017B (uninitialized)
417
017C (uninitialized)
418
017D (uninitialized)
419
017E (uninitialized)
420
017F (uninitialized)
421
-----------------------------------------------------------------------------------------
422
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
423
-----------------------------------------------------------------------------------------
424
0180 (uninitialized)
425
0181 (uninitialized)
426
0182 (uninitialized)
427
0183 (uninitialized)
428
0184 (uninitialized)
429
0185 (uninitialized)
430
0186 (uninitialized)
431
0187 (uninitialized)
432
0188 (uninitialized)
433
0189 (uninitialized)
434
018A (uninitialized)
435
018B (uninitialized)
436
018C (uninitialized)
437
018D (uninitialized)
438
018E (uninitialized)
439
018F (uninitialized)
440
0190 (uninitialized)
441
0191 (uninitialized)
442
0192 (uninitialized)
443
0193 (uninitialized)
444
0194 (uninitialized)
445
0195 (uninitialized)
446
0196 (uninitialized)
447
0197 (uninitialized)
448
0198 (uninitialized)
449
0199 (uninitialized)
450
019A (uninitialized)
451
019B (uninitialized)
452
019C (uninitialized)
453
019D (uninitialized)
454
019E (uninitialized)
455
019F (uninitialized)
456
-----------------------------------------------------------------------------------------
457
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
458
-----------------------------------------------------------------------------------------
459
01A0 (uninitialized)
460
01A1 (uninitialized)
461
01A2 (uninitialized)
462
01A3 (uninitialized)
463
01A4 (uninitialized)
464
01A5 (uninitialized)
465
01A6 (uninitialized)
466
01A7 (uninitialized)
467
01A8 (uninitialized)
468
01A9 (uninitialized)
469
01AA (uninitialized)
470
01AB (uninitialized)
471
01AC (uninitialized)
472
01AD (uninitialized)
473
01AE (uninitialized)
474
01AF (uninitialized)
475
01B0 (uninitialized)
476
01B1 (uninitialized)
477
01B2 (uninitialized)
478
01B3 (uninitialized)
479
01B4 (uninitialized)
480
01B5 (uninitialized)
481
01B6 (uninitialized)
482
01B7 (uninitialized)
483
01B8 (uninitialized)
484
01B9 (uninitialized)
485
01BA (uninitialized)
486
01BB (uninitialized)
487
01BC (uninitialized)
488
01BD (uninitialized)
489
01BE (uninitialized)
490
01BF (uninitialized)
491
-----------------------------------------------------------------------------------------
492
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
493
-----------------------------------------------------------------------------------------
494
01C0 (uninitialized)
495
01C1 (uninitialized)
496
01C2 (uninitialized)
497
01C3 (uninitialized)
498
01C4 (uninitialized)
499
01C5 (uninitialized)
500
01C6 (uninitialized)
501
01C7 (uninitialized)
502
01C8 (uninitialized)
503
01C9 (uninitialized)
504
01CA (uninitialized)
505
01CB (uninitialized)
506
01CC (uninitialized)
507
01CD (uninitialized)
508
01CE (uninitialized)
509
01CF (uninitialized)
510
01D0 (uninitialized)
511
01D1 (uninitialized)
512
01D2 (uninitialized)
513
01D3 (uninitialized)
514
01D4 (uninitialized)
515
01D5 (uninitialized)
516
01D6 (uninitialized)
517
01D7 (uninitialized)
518
01D8 (uninitialized)
519
01D9 (uninitialized)
520
01DA (uninitialized)
521
01DB (uninitialized)
522
01DC (uninitialized)
523
01DD (uninitialized)
524
01DE (uninitialized)
525
01DF (uninitialized)
526
-----------------------------------------------------------------------------------------
527
     I D DIRECT-VALUE NXT    P COND B SYSCTL OE OS   A UK S C W  AADR BADR DST   FCT  SRC
528
-----------------------------------------------------------------------------------------
529
01E0 (uninitialized)
530
01E1 (uninitialized)
531
01E2 (uninitialized)
532
01E3 (uninitialized)
533
01E4 (uninitialized)
534
01E5 (uninitialized)
535
01E6 (uninitialized)
536
01E7 (uninitialized)
537
01E8 (uninitialized)
538
01E9 (uninitialized)
539
01EA (uninitialized)
540
01EB (uninitialized)
541
01EC (uninitialized)
542
01ED (uninitialized)
543
01EE (uninitialized)
544
01EF (uninitialized)
545
01F0 (uninitialized)
546
01F1 (uninitialized)
547
01F2 (uninitialized)
548
01F3 (uninitialized)
549
01F4 (uninitialized)
550
01F5 (uninitialized)
551
01F6 (uninitialized)
552
01F7 (uninitialized)
553
01F8 (uninitialized)
554
01F9 (uninitialized)
555
01FA (uninitialized)
556
01FB (uninitialized)
557
01FC (uninitialized)
558
01FD (uninitialized)
559
01FE (uninitialized)
560
01FF - - 000010000100 C/R    ! TRUE 0 NOC    -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ
561
 -- ---- 0 11 0 1 8  RAS1 RAS1 NOP   EXNOR DZ

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.