OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [Am9080/] [prom/] [microcode.mif] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 zpekic
;EMULATOR ASSEMBLY (MARCH 1977)
2
;07/06/77
3
;
4
;PC SOURCE AND/OR OBJECT CODE. x = DONT CARE
5
;0000 ;INITIALIZATION:
6
;
7
;0000 RESET: ALU DOUBLE, PC,PC.FTOB.F & AND & ZA :\, ALUC s, BASW & /lOC,, TO. INTE a IF.INY, & NUM DBUS, Hc:3&& NOC
8
0000 1000000011100000 0011110111110001 1011011111111110 11100100;
9
;
10
;0001 ALU,H#D,FTOB.F & DR & D2 & ALUC & BASW & 10C & /NOC & IF,INV & NUM
11
0001 1100000000000000 0011110111110000 0011010101011010 11011111;
12
;
13
;0002 ALU,H#C,FTOB.F & ANII *' 2Ft a, ALUC s, BASW & IOC & /NOC & IF,INV & NUM
14
0002 1100000000000000 0011110111110000 0011010101011000 11100100;
15
;
16
;0003 ALU,,,FTOB.F & AND :\, ZA & st.uc & BASLJ & IOC,,TO.A & /NOC & IF R.PUSH & NUM
17
0003 1100000000000011 0111110111110001 0011010101010100 11100100;
18
;
19
;0004 FETCH: ALU DOUBLE,PC,PC,FTOB.F & OR & ZA & ALUC & BASW & /IOC IN,,TO.A & MEMR & IF .INV,READY & NUM, $
20
0004 0100000000010000 0010010111010001 0011011111111110 11011100;
21
;
22
;0005 INCPC & IF D.R. ,HOLD & NUM,HLDD & NOC
23
0005 1100000000110000 1110100111110001 0011011111111110 11000100;
24
;
25
;0000
26
;0000 ;HOLD AND MEMORY REFERENCE SUBROUTINES AND HANDLERS:
27
;0000
28
;
29
;0000 ORG 10
30
;
31
;000A HLDSB: NALU & IOC & HLDA & IF R.RTN, INV, HOLD & NUM. $
32
000A 1100000000101001 1010100111111000 0011010101010100 01XXXXXX
33
;
34
;000B HLDF: NALU & IOC & HLDA & IF R.F, INV,HOLD & NUM, $
35
000B 1100000000101111 1010100111111000 0011010101010100 01XXXXXX
36
;
37
;000C HLDD: NALU & IOC & HLDA & IF D.R.,,HOLD & NUM, $
38
000C 1100000000110000 1110100111111000 0011010101010100 01XXXXXX
39
;
40
;000D MMRSB: ALU DOUBLE,PC,PC,FTOB.F & OR & ZA & IOC,,TO.A & /ALUC & MEMR & IF R.RTN, ,READY & NUM, $ & BASW
41
000D 1100000000110101 1110010111010001 0011011111111110 11011100
42
;
43
;000E MMWSB: ALU DOUBLE,PC,PC,FTOB.F & OR & ZA & ALUC & /IOC, DH, TO.A & NUM, $ & IF R.RT,,READY & BASW & MEMW
44
000E 1100000000111001 1110010111100101 0011011111111110 11011100
45
;
46
;000F MMWF: ALU DOUBLE.PC.PC.FTOB.F & DR & ZA & ALue & BASW & /MEMW & IF R.F,,READY *' IOC. DH.TO.A & NUM, $
47
000F 1100000000111111 1110010111100101 0011011111111110 11011100
48
;
49
;0010 MMRF: ALU DOUELE.PC,PC,FTOB.F & DR & ZA & ALUC & BASW :\, MEMR & /IOC,,TO.A ~ IF R.F ,,READY ~ NUM , $
50
0010 1100000001000011 1110010111010001 0011011111111110 11011100
51
;
52
;0011 MMRSP: ALU DOUBLE,SP,SP,FTOB.F ~ OR ~ 2A & IOC,,TO.A & BASW & /ALUC & MEMR & IF R.RTN.,READY & NUM, $
53
0011 1100000001000101 1110010111010001 0011011100010000 11011100
54
;
55
;0012 MMWSPH: ALU DOUBLE,SP,SP',FTOB.F s, DR & 2A & ALUC 3. BASbJ & /IOC,DH,TO.A & MEMW 3. IF R.RTN, ,READY 3. NUM, $
56
0012 1100000001001001 1110010111100101 0011011100010000 11011100
57
;
58
;0013 MMWSPL: ALU DOUBLE, Sp, Sp, F TOB. F 3. DR & ZA & ALUC & BASW & /IOC,DL,TO.A & MEMW & IF R.RTN,,READY & NUM, $
59
0013 1100000001001101 1110010111100011 0011011100010000 11011100
60
;
61
;0000
62
;0000 ;MACROCODES:
63
;0000
64
;
65
;0014 MOVRR: ftLU, ,,FTOB.F & ALUC & BASW SW,SW & OR & 2A & IOC & /IF R.F, INV,HOLD 3. NUM, HLDF & NOC
66
0014 1100000000101111 1010101111110000 0111010101010100 11011100
67
;
68
;0015 MOVMR: ALU DOUBLE, H 3. ftLUC & DR & 2ft 3. BASW 3. IDC,,TO.A & HLD
69
0015 1100000000101001 0110100111110001 0011011010010100 01011100
70
;
71
;0016 ALU.& OR & 2A & BASW, SW ~< ftLUC & IOC,,TD.D 3. HLD
72
0016 1100000000101001 0110100111110000 1111010101010100 01011100
73
;
74
;0017 ALU DOUBLE,PC.PC,FTOB.F & ALUC & OR & ZA & BASW & /MEMW & IF R.F,,READY & NUM, s & . IOC, DH, TO.A
75
0017 1100000001011111 1110010111100101 0011011111111110 11011100
76
;
77
;0018 MOVRM: ALU DOUBLE, H & ALUC & OR & ZA & BASW & IOC,,TO.A & HLD
78
0018 1100000000101001 0110100111110001 0011011010010100 01011100
79
;
80
;0019 ALU DOUBLE,PC,PC,FTOB.F & OR & ZA & ALUC & BASW & MMR & /IOC,,TO.A
81
0019 1100000000110101 0010010111010001 0011011111111110 11011100
82
;
83
;001A ALU,,,FTOB.F & OR & DZ & BASW SW & ALUC & IOC & /NOC & IF R.F, INV, HOLD & NUM, HLDF
84
001A 1100000000101111 1010101111110000 0011010101010100 11011111
85
;
86
;001B MVIR: INCPC & MMR
87
001B 1100000000110101 0010010111010001 0011011111111110 11000100
88
;
89
;001C ALIJ, , ,FTOB. F & OR & DZ & ALUC & BASI.o.I SW & IDC / 3. NOC & IF R.F, INV, HOLD & NUM. HLDF
90
001C 1100000000101111 1010101111110000 0011010101010100 11011111
91
;
92
;001D NALU
93
001D XXXXXXXXXXXXXXXX XXXXXX0XXXXXXXXX X011010101010100 01XXXXXX
94
;
95
;001E MVIM: NALU & MMR & IDe
96
001E 1100000000110101 0010010111010000 0011010101010100 01XXXXXX
97
;
98
;001F ALU,,,FTOB.F &. BASW & OR &. DZ & ALUC & IOC ,,TO.D s, HLD
99
001F 1100000000101001 0110100111110000 1011010101010100 11011111
100
;
101
;0020 ALU DOUBLE,H & DR & ZA & ALUC & BASW & IOC,,TO.A & HLD
102
0020 1100000000101001 0110100111110001 0011011010010100 01011100
103
;
104
;0021 ALU DOUBLE,PC,PC,FTOB.F & PLUS & ZA & ALUC & BASW & /MEMW & IF R.F,,READY & NUM, MMWF & IOC,DH,TO.A
105
0021 1100000000111111 1110010111100101 0011011111111110 11000100
106
;
107
;0022 LXIB: INCPC & MMR
108
0022 1100000000110101 0010010111010001 0011011111111110 11000100
109
;
110
;0023 ALU,,C,FTOB.F & OR & DZ & ALUC & BASW & HLD & IOC
111
0023 1100000000101001 0110100111110000 0011010101000010 11011111
112
;
113
;0024 INCPC & MMR
114
0024 1100000000110101 0010010111010001 0011011111111110 11000100
115
;
116
;0025 ALU,,B,FTOB.F, & OR & D2 & ALUC &. BASW &. NOC & /IF R.F,INY,HOLD & NUM,HLDF & IOC
117
0025 1100000000101111 1010100111110000 0011010101000000 11011111
118
;
119
;0026   LDA:   INCPC & MMR
120
0026 1100000000110101 0010010111010001 0011011111111110 11000100
121
;
122
;0027 ALU DOUBLE,,jFTOB.F & OR   & DZ & ALUC & BASW & HLD &   lOC
123
0027 1100000000101001 0110100111110000 0011011101010100 11011111
124
;
125
;0028 INCPC & MMR
126
0028 1100000000110101 0010010111010001 0011011111111110 11000100
127
;
128
;0029 ALU,,,FTOB.F &. DR &. DZ &.   ALUC 3, BASI.
129
0029 1100000000101001 0110100111110000   0011010101010100 11011111
130
;
131
;002A ALU DOUBLE,,   &. OR ~, 2A &.   ALUC '1 BASW & rue TO. A &   HLD
132
002A 1100000000101001 0110100111110001 0011011101010100 01011100
133
;
134
;002B ALU DOUBLE,PC,PC,~TOB.F &. OR & 2A & ALUC & BASW & /10C,,TO.A & MMR
135
002B 1100000000110101 0010010111010001 0011011111111110 11011100
136
;
137
;002C ALU.A,A,FTOB.F & OR & I1Z & ALIJC & BASW &. IOC &0 /NOC & IF R.F,INY,HOLD & NUM, HLDF
138
002C 1100000000101111 1010100111110000 0011010011101110 11011111
139
;
140
;002D STA' INCPC & MMR
141
002D 1100000000110101 0010010111010001 0011011111111110 11000100
142
;
143
;002E ALU DOUBLE,,, FTOB. F & DR & DZ & ALIJC & BASW & roc a, HLD
144
002E 1100000000101001 0110100111110000 0011011101010100 11011111
145
;
146
;002F INCPC & MMR
147
002F 1100000000110101 0010010111010001 0011011111111110 11000100
148
;
149
;0030 ALU,,,FTDB.F & OR & DZ & ALUC & BASW Ii- roc & HLD
150
0030 1100000000101001 0110100111110000 0011010101010100 11011111
151
;
152
;0031 ALU DOUBLE,,,FTOB.F & DR & 2A & ALUC & BASW & IOC.,TO.A '1 /HLD
153
0031 1100000000101001 0110100111110001 0011011101010100 11011100
154
;
155
;0032 ALU,A,A,FTOB.F ~ OR ~ 2A ~ ALUC ~ BASW & IDC,,TD.D & HLD
156
0032 1100000000101001 0110100111110000 1011010011101110 11011100
157
;
158
;0033 ALU DDUBLE,PC,PC,FTOB.F ~ OR ~ 2A & ALUC & BASW & ,,IOC,DH,TD.A & MEMI,, & IF R.F,,READY & NUM, MMWF
159
0033 1100000000111111 1110010111100101 0011011111111110 11011100
160
;
161
;0034 ADDR: ALU  A,FTOB.F & PLUS & AB & BASW,SI,, & ALUC UPDTALL  CNL '''IDC & NDC & IF R.F,INV,HDLD & NUM,HLDF
162
0034 1100000000101111 1010100111110000 0100000101001110 11000001
163
;
164
;0035 ADDN: All) DDUBLE,H & DR & 2A & ALUC s, BASW & IDC, ,TO.A & HLD
165
0035 1100000000101001 0110100111110001 0011011010010100 01011100
166
;
167
;0036 ADDM1: ALU DDUBLE.PC,PC,FTOB.F ~DR ~ 2A & ALUC ~ BASW ~ /IOC ,,TO.A ~ MMR
168
0036 1100000000110101 0010010111010001 0011011111111110 11011100
169
;
170
;0037 ALU,A,A,FTDB.F  PLUS ~ DA & ALUC UPDTALL,,CNL & /FASW 2. IDC & NDC & IF R.F,INV,HDLD & NIJM, HLDF
171
0037 1100000000101111 1010100111110000 0000000011101110 11000101
172
;
173
;0038 ADI: INCPC ~ MMR
174
0038 1100000000110101 0010010111010001 0011011111111110 11000100
175
;
176
;0039 ALU.A,A,FTDB.F & PLUS & DA & ALUC UPDTALL,,CNL , /BASW & IDC & NOC & IF R.F,INV,HOLD & NUM,HLDF
177
0039 1100000000101111 1010100111110000 0000000011101110 11000101
178
;
179
;003A ADCR: NALU & IOC & NOC s, IF, INY, CY 3. NUM, AIIDR
180
003A 1100000011010000 0000010111110000 0011010101010100 01XXXXXX
181
;
182
;003B ALU,,A.FTOB.F 3. PLUS 3. AB & BASW,SW 3. ALue UPDTALL 3. /IDC & NOC & IF R. F, INV, HOLD & NUN, HLDF
183
003B 1100000000101111 1010100111110000 0100010101001110 11000001
184
;
185
;003C ADCN: ALU IlDlIBLE,H & OR e, 2A 3. ALUC & BASW 3. IDC,,TD.A & ...-NDe & IF,INV,CY 3. NUM,ADDNI
186
003C 1100000011011000 0000010111110001 0011011010010100 01011100
187
;
188
;003D ALU DOUBLE ,PC,PC,FTDB.F 3. DR 3. 2A 3. ALUC 3. BASI,, 3. /IDC,,TD.A 3. MMR
189
003D 1100000000110101 0010010111010001 0011011111111110 11011100
190
;
191
;003E ALU,A,A,FTDB.F 3. PLUS 3. DA 3. ALUC UPDTALL & úBASW 3. /IOC & NOC , IF R.F,INY,HOLD & NUM,HLDF
192
003E 1100000000101111 1010100111110000 0000010011101110 11000101
193
;
194
;003F ACI: NALI) & IDC & NOC & IF,zNV,CY & NUM,ADI
195
003F 1100000011100000 0000010111110000 0011010101010100 01XXXXXX
196
;
197
;0040 INCPC 3. MMR
198
0040 1100000000110101 0010010111010001 0011011111111110 11000100
199
;
200
;0041 ALU,A.A,FTOB.F & PLUS & DA & ALUC UPDTALL & B'ASW & /IOC & NOC & IF R.F,INY,HOLD & NUM,HLDF
201
0041 1100000000101111 1010100111110000 0000010011101110 11000101
202
;
203
;0042 JMP: INCPC & MMR
204
0042 1100000000110101 0010010111010001 0011011111111110 11000100
205
;
206
;0043 ALU DOUBLE, , ,FTOB.F & OR & DZ & ALUC & BASW & /IOC & HLD
207
0043 1100000000101001 0110100111110000 0011011101010100 11011111
208
;
209
;0044 INCPC & MMR ,,
210
0044 1100000000110101 0010010111010001 0011011111111110 11000100
211
;
212
;0045 ALU,,,FTOB.F & OR & DZ & ALUC & BASW & IOC & HLD
213
0045 1100000000101001 0110100111110000 0011010101010100 11011111
214
;
215
;0046 ALU DOUBLE,,PC,FTOB.A & OR & ZA & ALUC & BASW & IOC, ,TO.A & /NOC & IF R.F,INV,HOLD & NUM,HLDF
216
0046 1100000000101111 1010100111110001 0011011101011110 10011100
217
;
218
;0047 CALL: INCPC s MMR
219
0047 1100000000110101 0010010111010001 0011011111111110 11000100
220
;
221
;0048 ALU DDUB'LE,,,FTDB'.F & DR & DZ & ALUC & BASW & IOC & /HLD
222
0048 1100000000101001 0110100111110000 0011011101010100 11011111
223
;
224
;0049 INCPC e, MMR
225
0049 1100000000110101 0010010111010001 0011011111111110 11000100
226
;
227
;004A ALU,,,FTOB.F & OR & DZ & ALUC & BASW & IOC & HLD
228
004A 1100000000101001 0110100111110000 0011010101010100 11011111
229
;
230
;004B FtLU DDUB'LE,SP,SP,FTOB.F & SUNIM & ZA & ALUC,,CNL & /BASW & IDC,,TD.A & HLD
231
004B 1100000000101001 0110100111110001 0011001100010000 11001100
232
;
233
;004C ALU DOUBLE,PC & DR & ZA & ALUC s, BAS~I & !DC  TD.D & HLD
234
004C 1100000000101001 0110100111110000 1011011111110100 01011100
235
;
236
;004D ALU DDUBLE,SP,SP,FTDB'.F & SUNIM & 2A & ALUC,,CNL & /IDC,DH.TD.A & BASW & MEMW & IF C.SB'R,INY,READY & --NUM, MM~ISPH
237
004D 1100000001001001 0010010111100101 0011001100010000 11001100
238
;
239
;004E ALU DOUB'LE,,PC,FTDB'.F & DR & ZA & ALUC & B'ASW & /IDC,DL,TO.A & MEMW & IF R.F,,READY & NUM, $
240
004E 1100000100111011 1110010111100011 0011011101011110 11011100
241
;
242
;004F NFtLU
243
004F XXXXXXXXXXXXXXXX XXXXXX0XXXXXXXXX X011010101010100 01XXXXXX
244
;
245
;0050 RET: ALU DDUBLE.SP,SP,FTOB.A & PLUS & ZA & BASW & ALUC & /IDC,,TD.A & NOC & IF C.SB'R & NUM,MMRSP
246
0050 1100000001000101 0111110111110001 0011011100010000 10000100
247
;
248
;0051 ALU DOUBLE,PC,PC,FTOB.F ~ OR & DZ & BASW ~ ALUC & /lOC & HLD
249
0051 1100000000101001 0110100111110000 0011011111111110 11011111
250
;
251
;0052 ALU DOUBLE, SP, sp, FTOB. A e, PLUS s, ZA ~ BASI,,! s, ALUC s, /IOC,,TO.A & MEMR $, IF C.SBR,INV,READY & NUM,MMRSP
252
0052 1100000001000101 0010010111010001 0011011100010000 10000100
253
;
254
;0053 ALLI,PC,PC,FTDB.F & OR & 112 & ALLIC & BASW &   roc & HLD
255
0053 1100000000101001 0110100111110000 0011010111111110 11011111
256
;
257
;0054 ALU DOUBLE,PC.PC,   & OR ~ 2A &. ALLIe & BASW &   IOC, ,TO.A   &  /NOC & IF R.F,INV,HOLD & NUM,   HLDF
258
0054 1100000000101111 1010100111110001 0011011111111110 01011100
259
;
260
;0055 RST:   ALU DOUBLE,H#C,,FTOB.F & AND & DA & ALUC & BASW & /IOC & HLD
261
0055 1100000000101001 0110100111110000 0011011110010100 11100101
262
;
263
;0056 RST1:   ALU DOUBLE,PC & OR & ZA & ALUC & BASW &  IOC,,TO.D & HLD
264
0056 1100000000101001 0110100111110000 1011011111110100 01011100
265
;
266
;0057 ALU DOUBLE,SP,SP,FTOB.F & SUNIM & ZA & ALUC,,CNL & /BASW & IOC,, TO.A & NOC & IF C.SBR & NUM, MMWSPH
267
0057 1100000001001001 0111110111110001 0011001100010000 11001100
268
;
269
;0058 ALU DOUBLE, SP,SP,FTOB.F & SUNIM & ZA & ALUC,,CNL & /BASW & IOC,,TO.A & HLD
270
0058 1100000000101001 0110100111110001 0011001100010000 11001100
271
;
272
;0059 ALU DOUBLE  PC,FTOB.F & ZA & OR & ALUC & BASW & MEMW & /IOC,DL,TO.A & IF R.F,,READY & NUM, $
273
0059 1100000101100111 1110010111100011 0011011101011110 11011100
274
;
275
;005A RLC: ALU,A.A.UROT.F &. OR &. ZA & BASW &. IOC & /ALUC UPDTCY & HLD
276
005A 1100000000101001 0110100111110000 0001010011101111 11011100
277
;
278
;005B RLC1: NALU & IOC & NOC  IF R.F,INV.F3 , NUM.STC
279
005B 1100001001110011 1011000111110000 0011010101010100 01XXXXXX
280
;
281
;005C ALLI , OR & ALUC L1PDTCY s, BASI,,! & IDC , /NOC &. IF R.F,INV.HOLD *' NUM,HL1IF
282
005C 1100000000101111 1010100111110000 0001010101010100 01011XXX
283
;
284
;005D RRC: ALU.A.A.DROT.F &. OR & ZA & BASW & IOC &. ALUC UPDTCY & /HLD
285
005D 1100000000101001 0110100111110000 0001010011101111 01011100
286
;
287
;005E ALU,A &. DR & ZA 3, BASW & IOC & ALue $. NOC & IF & NUM,RL
288
005E 1100000101101100 0111110111110000 0011010011110100 01011100
289
;
290
;005F RAL: & ALU,A.A.UROT.F $. OR & ZA &. BASW & IOC & ALUC UPDTCY.SWAP
291
005F 1100000101101100 0111110111110000 0001110011101111 11011100
292
;
293
;0060 RAR: ALU,A,A,DROT.F :l. DR :l. ZA :l. BASW :l. IOC ~ ALUC ~ HLD
294
0060 1100000000101001 0110100111110000 0011010011101111 01011100
295
;
296
;0061 ALU,A,A,UROT.F ~ DR :l. ZA & BASW ~ IDC ~ ALUC & HLD
297
0061 1100000000101001 0110100111110000 0011010011101111 11011100
298
;
299
;0062 ALU,A,A,DROT.F' & DR $. ZA & BASW :l. IOC & ALUC UPDTCY, /SWAP & NOC & IF R.F,INV,F3 & NUM,STC
300
0062 1100001001110011 1011000111110000 0001110011101111 01011100
301
;
302
;0063 ALU & OR & ALUC UPDTCY ,I\. BASW & 10C & NOC & /IF R.F,INV,HOLD & NUM,HLDF
303
0063 1100000000101111 1010100111110000 0001010101010100 01011XXX
304
;
305
;0064 PUSHRP: ALU DOUBLE & OR s ZB & ALUC & IOC  TO. D & BASW su :l. HLD
306
0064 1100000000101001 0110101111110000 1011011101010100 01011011
307
;
308
;0065 ALU DOUBLE.SP,SP,FTOB.F :l. SUNIM & ZA & ALUC.,CNL $. /IOC,,TO.A $. BASW $. HLD
309
0065 1100000000101001 0110100111110001 0011001100010000 11001100
310
;
311
;0066 ALU DOUBLE,SP,SP.FTOB.F & SUNIM & ZA & ALUC.,CNL & /SASW :l. IOC,DH,TO.A & MEMW & IF C.S~R,INV,READY Il. /NUM,MMWSPH
312
0066 1100000001001001 0010010111100101 0011001100010000 11001100
313
;
314
;0067 ALU DDUBLE,PC,PC,FTOB.F & OR & ZA & ALUC & BASW & /IOC,DL,TO.A & MEMW & IF R.F.,READY & NUM, $
315
0067 1100000110011111 1110010111100011 0011011111111110 11011100
316
;
317
;0068 PUSHPSW: ALU, A & OR & ZA & ALUC & IOC TO.D & BASW & HLD
318
0068 1100000000101001 0110100111110000 1011010011110100 01011100
319
;
320
;0069 ALU DOUBLE,SP,SP,FTOB.F & SUNIM & ZA & ALUC,,CNL & /BASW & IOC,,TO.A & NOC & IF C.SBR & NUM,MMWSPH
321
0069 1100000001001001 0111110111110001 0011001100010000 11001100
322
;
323
;006A NALU & IOC,FLAGS & MEMW   & IF,INV,READY $ NUM,$
324
;006A 1100000110101000 0010010111100110 0011010101010100 01XXXXXX -- ORIGINAL REPLACED
325
006A 1100000110101111 1011110111110001 0011001100010000 11001100
326
;
327
;006B ALU DOUBLE,SP,SP,FTOB.F & SUNIM & ZA & ALUC.,CNL &   BASW  & /IOC & HLD
328
;006B 1100000000101001 0110100111110000 0011001100010000 11001100 -- ORIGINAL REPLACED
329
006B 1100000001001001 0010010111100110 0011000101010100 01XXXXXX
330
;    5432109876543210 9876543210987654 3210987654321098 76543210
331
;         5         4          3          2         1          0
332
;
333
;006C   ALU DOUBLE,PC,PC,FTOB.F & OR & ZA & ALUC & BASW & IOC,,TO.A & /NOC & IF R.F, INV.HOLD & NUM.HLDF
334
006C 1100000000101111 1010100111110001 0011011111111110 11011100
335
;
336
;006D INXB: ALU DOUBLE B,,FTOB.F & PLUS & ZB & ALUC & BASW & IOC & HLD
337
006D 1100000000101001 0110100111110000 0011011101000000 11000011
338
;
339
;006E ALU DOUBLE.B,C,FTOB.A & OR & DZ & ALUC,SWAP & BASW & /IOC & NOC & IF R.F.INV,HOLD & NUM,HLDF
340
006E 1100000000101111 1010100111110000 0011111000000010 10011111
341
;
342
;006F DCXB: ALU DOUBLE  B,FTOB.F & SUNIM & ZB & ALUC,,CNL & /BASW & IOC & HLD
343
006F 1100000000101001 0110100111110000 0011001101000000 11001011
344
;
345
;0070 ALU DOUBLE,B,C.FTDB.A & OR :l, DZ :l, ALUC.S~IAP & IDC & /NOC & IF R.F,INV,HOLD & NUM,HLDF
346
0070 1100000000101111 101010X111110000 0X11111000000010 10011111
347
;
348
;0071 DAD. B: ALU DOUBLE,B,H,FTOB.F & PLUS & AB & ALLIC UPDTCY,,CNL & I DC a, /BASW & HLD
349
0071 1100000000101001 0110100111110000 0001001000001000 11000001
350
;
351
;0072 DADll ALU DOUBLE,H,L,FTOB.A & OR 3. DZ & ALUC,SWAP & BASW :l, /IOC & NOC & IF R.F,INV,HOLD & NUM.HLDF
352
0072 1100000000101111 1010100111110000 0011111010001010 10011111
353
;
354
;0073 DAD.D: ALU DOUBLE,D,H,FTOB.F & PLUS & AB & ALUC UPDTCY,,CNL & I DC Il./BASI,J & NOC & IF & NUM,DADI
355
0073 1100000111001000 0111110111110000 0001001001001000 11000001
356
;
357
;0074 DAD.H: ALU DOUBLE,H,H,FTOB.F & PUIS & AB & ALlJC UPDTCY,,CNL :l, I DC 3. /BASW 3. NOC :l, IF & NUM, DADI
358
0074 1100000111001000 0111110111110000 0001001010001000 11000001
359
;
360
;0075 DAD.SP: ALU DOUBLE,SP,H,FTOB.F & PLUS & AB & ALUC UPDTCY,,CNL & IDe 3. ,'BASW & NOC 3. IF & NUM,DADI
361
0075 1100000111001000 0111110111110000 0001001100001000 11000001
362
;
363
;0076 CMPR: ALlI,,A, & BASW,SW 3. AB & SUNIM & ALUC UPDTALL & IOC & /NDC & IF R.F.INV,HDLD S. NIJM,HLDF
364
0076 1100000000101111 1010100111110000 0100010101001110 01001001
365
;
366
;0077 CPI I INCPC S. MMR
367
0077 1100000000110101 0010010111010001 0011011111111110 11000100
368
;
369
;0078 ALU,A S. :&S~) S. DA 3. SUNIM S. ALue UPDTALL a. IOC 3- ,NOC a. IF R.F,INV,HOLD & NUM,HLDF
370
0078 1100000000101111 1010100111110000 0000010011110100 01001101
371
;
372
;0079 CMPM: ALU DOUBLE.H & DR & 2A & IOC.,TO.A 3. BASW & ALUC & HLD
373
0079 1100000000101001 0110100111110001 0011011010010100 01011100
374
;
375
;007A ALU DDUBLE,PC & OR & ZA & IOC,,TO.A & BASW 3. ALUC 3. MMR
376
007A 1100000000110101 0010010111010001 0011011111110100 01011100
377
;
378
;007B ALU,A I!o BASW & DA & SLlNII1 & ALIJC UPDTALL S. IOC.& /NOC & IF R.F,INV,HOLD & NUM,HLDF
379
007B 1100000000101111 1010100111110000 0000010011110100 01001101
380
;
381
;007C POP.B: ALU DOUBLE,SP,SP,FTOB.A & DR & ZA :I. ALUC & BASW a. /IOC,,TO.A a. NOC & IF C.SBR, ,HOLD a. NUM.HLDSB
382
007C 1100000000101001 0110100111110001 0011011100010000 10011100
383
;
384
;007D ALU DOUBLE,SP,SP,FTOB.F & PLUS :I. ZA & ALUC & BASW & / IOC,,TO.A a. MEMR & IF C.SBR.INV,READY & NUM.MMRSP
385
007D 1100000001000101 0010010111010001 0011011100010000 11000100
386
;
387
;007E ALU,,C,FTOB.F & DR & DZ & ALUC & IOC & BASW & HLD
388
007E 1100000000101001 0110100111110000 0011010101000010 11011111
389
;
390
;007F ALU DOUBLE,SP,SP,FTOB.F & PLUS& ZA & ALUC & BASW & /IOC,,TO.A & MEMR & IF C.SBR.INV,READY & NUM,MMRSP
391
007F 1100000001000101 0010010111010001 0011011100010000 11000100
392
;
393
;0080 ALU.,B,FTOB.F & DR & DZ & ALUC & IOC & BASW & HLD
394
0080 1100000000101001 0110100111110000 0011010101000000 11011111
395
;
396
;0081 ALU DOUBLE,PC & DR & ZA & ALUC & IOC.,TO.A & BASW & /NOC & IF R.F.INV.HOLD & NUM.HLDF
397
0081 1100000000101111 1010100111110001 0011011111110100 01011100
398
;
399
;0082 HLT: NALU & IOC'& HLD
400
0082 1100000000101001 0110100111110000 0011010101010100 01XXXXXX
401
;
402
;0083 NALU & IOC & NOC & IF ,INV,INT & NUM.HLT
403
0083 1100001000001000 0010000111110000 0011010101010100 01XXXXXX
404
;
405
;0084 INTHNDL:   NALU & IOC & INTA & IF,INV & NUM
406
0084 1100000000000000 0011110011110000 0011010101010100 01XXXXXX
407
;
408
;0085 NALU & IOC & INTA & IF & NUM,RST1
409
;0085 1100000101011000 0111110011110000 0011010101010100 01XXXXXX -- ORIGINAL
410
0085 1100000101011000 0111110011110000 0011011110010100 10100101
411
;
412
;0086 NOP:   NALU & IOC & IF R.F, INV, HOLD & NUM,HLDF & NOC
413
0086 1100000000101111 1010100111110000 0011010101010100 01XXXXXX
414
;
415
;0087 IN. :   INCPC   & MMR
416
0087 1100000000110101 0010010111010001 0011011111111110 11000100
417
;
418
;0088 ALU &   DR & DZ & ALUC &   IOC  TO.A & BASW   & HLD
419
0088 1100000000101001 0110100111110001 0011010101010100 01011111
420
;
421
;0089 ALU DOUBLE. PC & DR & ZA & BASW & ALUC &   IDC., TO. A   &      /IOR & IF,INV,READY & NUM.$
422
0089 1100001000100100 0010010101110001 0011011111110100 01011100
423
;
424
;008A ALU, ,A.FTDB.F ~ OR Il. DZ Il. at.uc & IDC & BASW e, NOC e, /IF R.F,INV.HOLD & NUM.HLDF
425
008A 1100000000101111 1010100111110000 0011010101001110 11011111
426
;
427
;008B OUT.' ALlI,A & DR & ZA & IDC,,TO.D & BAS~J & ALLIC & HLD
428
008B 1100000000101001 0110100111110000 1011010011110100 01011100
429
;
430
;008C INCPC ~ MMR
431
008C 1100000000110101 0010010111010001 0011011111111110 11000100
432
;
433
;008D ALU & OR & DZ & ALUC & 10C,,TO.A & BASW & HLD
434
008D 1100000000101001 0110100111110001 0011010101010100 01011111
435
;
436
;008E ALU DOUBLE,PC & OR & ZA & BASW & ALUC & IOC,DH,TO.A & /IOW & IF R.F,,READY & NUM,$
437
008E 1100001000111011 1110010110110101 0011011111110100 01011100
438
;
439
;008F EI: ALU,H#C & NXOR & ZA & IOC,,TO.INTE & BASW & ALUC & /NOC & IF R.F.INV.HOlD & NUM,HLDF
440
008F 1100000000101111 1010100111110001 1011010110010100 01111100
441
;
442
;0090 DI: ALU & AND & ZA & IOC,, TO. INTE & BASW & ALUC & NOC & /IF R.F,INV,HOLD & NUM,HLDF
443
0090 1100000000101111 1010100111110001 1011010101010100 01100100
444
;
445
;0091 SPHL: ALU DOUBlE,H.SP.FTOB.F & OR & ZA & AlUC & BASW & IOC & /NOC & IF R.F,INV.HOLD is. NUM,HlDF
446
0091 1100000000101111 1010100111110000 0011011010010000 11011100
447
;
448
;0092 ;XTHU AlU DOUBLE,H & OR & ZA & AlUC & BASW & IOC,,TO.D & HLD
449
0092 1100000000101001 0110100111110000 1011011010010100 01011100
450
;
451
;0093 ALU DOUBLE.SP & DR & ZA & AlUC & BASW & 10C,.TO.A & HLD
452
0093 1100000000101001 0110100111110001 0011011100010100 01011100
453
;
454
;0094 NAlU & IOC & MEMR & IF.INV,READY & NUM,$
455
0094 1100001001010000 0010010111010000 0011010101010100 01XXXXXX
456
;
457
;0095 AlU,,l.FTOB.F & OR & DZ & AlUC & IOC & BASW & HLD
458
0095 1100000000101001 0110100111110000 0011010101001010 11011111
459
;
460
;0096 ALU DOUBLE,SP,SP.FTOB.F & PLUS & ZA & ALUC & BASW & /IDC,DL,TO.A & MEMW & IF C.SBR.JNV,READY is. NUM,MMbJSPL
461
0096 1100000001001101 0010010111100011 0011011100010000 11000100
462
;
463
;0097 NALU & I0C & MEMR is. IF. INV, READY & NUM,$
464
0097 1100001001011100 0010010111010000 0011010101010100 01XXXXXX
465
;
466
;0098 ALU,,H,FTOB.F ~ DR & DZ ~ ALUC & IOC ~ BASW & HLD
467
0098 1100000000101001 0110100111110000 0011010101001000 11011111
468
;
469
;0099 ALU DOUBLE. PC & OR & ZA & ALUC ~ BASW & IOC,DH,TO.A & /MEMW & IF,INV,READY & NUM.i
470
0099 1100001001100100 0010010111100101 0011011111110100 01011100
471
;
472
;009A ALU DOUBlE,SP.SP,FTOB.F & ZA & SUNIM & ALUC.,CNL & /BASt..' is. IDC & NOC S. IF R.F. INV,HOLD & NUM,HLDF
473
009A 1100000000101111 1010100111110000 0011001100010000 11001100
474
;
475
;009B PCHL: ALU DOUBLE,H,PC,FTOB.F & OR & ZA & ALUC & IOC,,TO.A & /BASW & NOC & IF R.F,INV.HOLD & NUM,HLDF
476
009B 1100000000101111 1010100111110001 0011011010011110 11011100
477
;
478
;009C STC: ALU H:~C & SUNIM & ZA & ALUC UPDTCV  CNL ~ BASW & 10C & NOC &/IF R.F,INY,HDLD & NUM,HLDF
479
009C 1100000000101111 1010100111110000 0001000110010100 01001100
480
;
481
;009D CMC: ALU & AND & ZA & ALUC UPDTCY & BA~~I ~< IDC & NOC & /IF R.F,,CY & NUM, STC
482
009D 1100001001110011 1100010111110000 0001010101010100 01100100
483
;
484
;009E ALU & AND & ZA & ALUC UPDTCY,,CNL & BASW & IDC & /NOC & IF R.F,INY,HOLD & NUM,HLDF
485
009E 1100000000101111 1010100111110000 0001000101010100 01100100
486
;
487
;009F ANAR: ALU,,A,FTOB.F & AND & AB & ALUC UPDTALL & BASW ,~W & /IDC & NOC & IF R.F.INY,HDLD &NUM,HLDF
488
009F 1100000000101111 1010100111110000 0100010101001110 11100001
489
;
490
;00A0 ;XRAR: ALU  A.FTOB.F & XOR & AB & ALUC UPDTALL & BASld.Sld & /IOC & NOC a, IF R.F. INY,HOLD & NUM,HLDF
491
00A0 1100000000101111 1010100111110000 0100010101001110 11110001
492
;
493
;00A1 ORAR: ALU,,A,FTOB.F & DR & AB & ALUC UPDTALL & BA~W ,SW & /IOC & NOC & IF R.F,INY,HOLD & NUM,HLDF
494
00A1 1100000000101111 1010100111110000 0100010101001110 11011001
495
;
496
;00A2 DCRM: ALU IIOUBLE.H & DR & ZA & ALUC & IOC. ,TO.A & BASW & HLD
497
00A2 1100000000101001 0110100111110001 0011011010010100 01011100
498
;
499
;00A3 NALU & IOC & MEMR & IF,INY.READY & NUM,$
500
00A3 1100001010001100 0010010111010000 0011010101010100 01XXXXXX
501
;
502
;00A4 ALU & DZ & MINUS & ALUC UPDTFL,,CNL & BASW & /IOC,,TO.D & HLD
503
00A4 1100000000101001 0110100111110000 1010000101010100 01010111
504
;
505
;00A5 ALU DOUBLE,PC & OR & ZA & ALUC & BA~W ~. IOC,DH,TO.A & /MEMW & IF R.F,,READY & NUM,$
506
00A5 1100001010010111 1110010111100101 0011011111110100 01011100
507
;
508
;00A6 INRM: ALU DDUBLE,H & OR & ZA & ALUC & IOC,,TO.A & BASW & HLD
509
00A6 1100000000101001 0110100111110001 0011011010010100 01011100
510
;
511
;00A7 NALU & IOC & MEMR & IF,INV,READY & NUM,$
512
00A7 1100001010011100 0010010111010000 0011010101010100 01XXXXXX
513
;
514
;00A8 ALU & DZ & PLUS & ALUC UPDTFL & BASW & IOC,,TO.D & HLD
515
00A8 1100000000101001 0110100111110000 1010010101010100 01000111
516
;
517
;00A9 ALU DOUBLE,PC & DR & ZA & ALUC & BASW & IOC,DH,TO.A & /MEMW & IF R.F,.READY & NUM.$
518
00A9 1100001010100111 1110010111100101 0011011111110100 01011100
519
;
520
;00AA DCRR: ALU.,,FTOB.F & ZB & SUNIM & ALUC UPDTFL,.CNL & /BASI,o,I SW & IDC & IF R.F,INV,HOLD & NUM.HLDF s NOC
521
00AA 1100000000101111 1010101111110000 0010000101010100 11001011
522
;
523
;00AB INRR: ALU,,,FTOB.F & ZB & PLUS & ALUe UPDTFL & BA~W ~W & IOC & /NOC & IF R.F,INV,HOLD & NUM,HLDF
524
00AB 1100000000101111 1010101111110000 0010010101010100 11000011
525
;
526
;00AC SUBR: ALU,,A,FTOB.F & AB & SUNIM & ALUC UPDTALL & BASW , ~W & /IOC:I. NOC :I. IF R.F,INV,HOLD :I. NUM,HLDF
527
00AC 1100000000101111 1010100111110000 0100010101001110 11001001
528
;
529
;00AD SUBM: ALU DOUBLE,H & DR & 2A :I. ALUC & BASil) & IDC,,TO.A & HLD
530
00AD 1100000000101001 0110100111110001 0011011010010100 01011100
531
;
532
;00AE ALU DOUBLE, PC & OR 2., ZA & BASW :I. ALLIC :I. IOC,,TO.A & MMR
533
00AE 1100000000110101 0010010111010001 0011011111110100 01011100
534
;
535
;00AF ALU,A,A,FTDB.F & DA & SUNIM & ALUC UPDTALL & /BASW & IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
536
00AF 1100000000101111 1010100111110000 0000010011101110 11001101
537
;
538
;00B0 SUI: INCPC & MMR
539
00B0 1100000000110101 0010010111010001 0011011111111110 11000100
540
;
541
;00B1 ALU,A,A,FTOB.F & DA 2., SUNIM :I. ALUC UPDTALL & /BAS~J & IDC & NOC 2., IF R.F,INV,HOLD:I. NUM,HLDF
542
00B1 1100000000101111 1010100111110000 0000010011101110 11001101
543
;
544
;00B2 SBBR: NALU & IDC :I. NOC :I. IF,INV,CY :I. NUM,SUBR
545
00B2 1100001010110000 0000010111110000 0011010101010100 01XXXXXX
546
;
547
;00B3 ALU,.A,FTOB.F:I. AB e, SUNIM & BASld,SW & ALUC UPDTALL.,CN L &/IDC %< NOC :I. IF R.F,INV,HOLD & NUM,HLDF
548
00B3 1100000000101111 1010100111110000 0100000101001110 11001001
549
;
550
;00B4 SBBM: ALU DOUBLE,H & OR & 2A & IOC,,TO.A & BASil) 2., ALUC & /NOC %< IF ,INV,CY & NUM,$UBM+l
551
00B4 1100001010111000 0000010111110001 0011011010010100 01011100
552
;
553
;00B5 ALU DOUBLE, PC & OR %. 2A s, lOC,, TO. A :I. BASW :I. ALUC 2., MMR
554
00B5 1100000000110101 0010010111010001 0011011111110100 01011100
555
;
556
;00B6 ALU,A,A,FTDB.F 2., DA :I. SUNIM & ALUC UPDTALL,,CHL :I. /BASW:I. IOC :I. NOC :I. IF R.F,INV,HOLD :I. NUM,HLDF
557
00B6 1100000000101111 1010100111110000 0000000011101110 11001101
558
;
559
;00B7 SBt: NALU :I. lOC & NOC & IF,INV,CY :I. HUM,SUI
560
00B7 1100001011000000 0000010111110000 0011010101010100 01XXXXXX
561
;
562
;00B8 NRLU & IOC :I. NOC & IF & NUM,SBll
563
00B8 1100010101110100 0111110111110000 0011010101010100 01XXXXXX
564
;
565
;00B9 ANAM: ALU DDUBLE,H & DR & 2A :I. IOC,,TO.A & ALUC & BASW :I. HLD
566
00B9 1100000000101001 0110100111110001 0011011010010100 01011100
567
;
568
;00BA ALU DOUBLE,PC :I. OR :I. ZA :I. IOC,,TO.A & ALUC & BASW & MMR
569
00BA 1100000000110101 0010010111010001 0011011111110100 01011100
570
;
571
;00BB ALU,A,A,FTOB.F & AND & DR & ALUC UPDTALL & BASW & /IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
572
00BB 1100000000101111 1010100111110000 0000010011101110 11100101
573
;
574
;00BC ;XRAM: ALU DOUBLE.H & OR & ZA & IOC  TO.A & ALUC & BASW & HLD
575
00BC 1100000000101001 0110100111110001 0011011010010100 01011100
576
;
577
;00BD ALU DOUBLE.PC & OR a, 2A & IOC,, TO. A & FtLUC & IoAS~J & MMR
578
00BD 1100000000110101 0010010111010001 0011011111110100 01011100
579
;
580
;00BE FtLU,A,A,FTOB.F & XOR & DA & FtLUC UPDTALL & BASW & /IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
581
00BE 1100000000101111 1010100111110000 0000010011101110 11110101
582
;
583
;00BF DRAM: ALU DOUBLE,H & OR & 2A & IOC,,TO.A & FtLUC & BASW & HLD
584
00BF 1100000000101001 0110100111110001 0011011010010100 01011100
585
;
586
;00C0 ALU DOUBLE,PC & OR & 2A & rOC,,TO.A :l. FtLUC & BASW & MMR
587
00C0 1100000000110101 0010010111010001 0011011111110100 01011100
588
;
589
;00C1 ALU, fit A, FToB. F & DR & DA & ALUC IJPDTALL   & BASW &  -,IDC & NOC &   IF R.F, INV, HOLD & NUM;HLDF
590
00C1 1100000000101111 1010100111110000 0000010011101110 11011101
591
;
592
;00C2 FtNII   INCPC & MMR
593
00C2 1100000000110101 0010010111010001 0011011111111110 11000100
594
;
595
;00C3 ALU,A,A,FTOB.F & AND &   DA & ALUC UPDTALL   & BASW &   IDC &  ?NOC & IF R.FoINV.HOLD & NUM,HLDF
596
00C3 1100000000101111 1010100111110000 0000010011101110 11100101
597
;
598
;00C4 ;XRI:   INCPC & MMR
599
00C4 1100000000110101 0010010111010001 0011011111111110 11000100
600
;
601
;00C5 ALU,A,A,FTOB.F & XCR &   DA & ALUC UPDTALL   & BASW &   IOC &  -'NOC & IF R.F,INV,HOLD & NUM,HLDF
602
00C5 1100000000101111 1010100111110000 0000010011101110 11110101
603
;
604
;00C6 ORI: INCPC & MMR
605
00C6 1100000000110101 0010010111010001 0011011111111110 11000100
606
;
607
;00C7 ALU,A,A,FTOB.F & OR & DA & ALUC UPDTALL & BAS~I :& IOC & ,,NOC & IF R.F,INV,HOLD & NUM,HLDF
608
00C7 1100000000101111 1010100111110000 0000010011101110 11011101
609
;
610
;00C8 CMA: ALU,A,A,FTDB.F & NXCR & ZA & IDC :& ALUC & llASW & ,,NOC & IF R.F.INV.HOLD & NUM,HLDF
611
00C8 1100000000101111 1010100111110000 0011010011101110 11111100
612
;
613
;00C9 LHLD: INepc & MMR
614
00C9 1100000000110101 0010010111010001 0011011111111110 11000100
615
;
616
;00CA ALU'DDUBLE, ,,FTDB.F 11 OR 11 D2 11 ALUC 11 BASW 11 IDC 11 HLD
617
00CA 1100000000101001 0110100111110000 0011011101010100 11011111
618
;
619
;00CB INCPC 11 MMR
620
00CB 1100000000110101 0010010111010001 0011011111111110 11000100
621
;
622
;00CC ALU,,,FTDB.F 11 DR 11 DZ 11 ALUC & BASW & IDC & HLD
623
00CC 1100000000101001 0110100111110000 0011010101010100 11011111
624
;
625
;00CD ALU DDUBLE, ,,FTDB.F 11 OR & 2A 11 ALUC 11 IDC,,TD.A 11 /BASW 11 HLD
626
00CD 1100000000101001 0110100111110001 0011011101010100 11011100
627
;
628
;00CE tlALU & IDC & MEMR 11 IF, INV,READY 11 HUM, s
629
00CE 1100001100111000 0010010111010000 0011010101010100 01XXXXXX
630
;
631
;00CF ALU,,L,FTDB.F & DR & DZ 11 IDC 11 BASW 11 ALUC & HLD
632
00CF 1100000000101001 0110100111110000 0011010101001010 11011111
633
;
634
;00D0 ALU DDUBLE,,,FTOB.F 11 PLUS 11 ALUC 11 BASW 11 IDC,,TO.A & H LD/ 11 ZA
635
00D0 1100000000101001 0110100111110001 0011011101010100 11000100
636
;
637
;00D1 AUI DOUBLE,PC 11 DR 11 2A 11 IDC  ro.a 11 BASW 11 ALUC:& /MEMR 11 IF,INV,READY 11 NUM , :5
638
00D1 1100001101000100 0010010111010001 0011011111110100 01011100
639
;
640
;00D2 ALU,,H,FTOB.F 11 DR & D2 11 IOC 11 BASW 11 ALUC 11 ,,'NDC 11 IF R.F, INV,HOLD & tlUM,HLDF
641
00D2 1100000000101111 1010100111110000 0011010101001000 11011111
642
;
643
;00D3 SHLD: INCPC 11 MMR
644
00D3 1100000000110101 0010010111010001 0011011111111110 11000100
645
;
646
;00D4 ALU DOUBLE,,,FTOB.F :& DR 11 D2 11 ALUC 11 BASW 11 IOC 11 HLD
647
00D4 1100000000101001 0110100111110000 0011011101010100 11011111
648
;
649
;00D5 INCPC 11 MMR
650
00D5 1100000000110101 0010010111010001 0011011111111110 11000100
651
;
652
;00D6 ALU,,,FTOB.F' DR & DZ 11 ALUC & BASW :& IOC & HLD
653
00D6 1100000000101001 0110100111110000 0011010101010100 11011111
654
;
655
;00D7 ALU DOUBLE,,,FTDB.F & DR & ZA 11 ALUC & IOC,,TD.A 11/BAS(d & HLD
656
00D7 1100000000101001 0110100111110001 0011011101010100 11011100
657
;
658
;00D8 ALU DOUBLE,H & DR & ZA 11 IOC,,TO.D & BASW & ALue & HLD
659
00D8 1100000000101001 0110100111110000 1011011010010100 01011100
660
;
661
;00D9 NALU 11 IDC,DL & MEM~I & IF, INV,READY & NUM, s
662
00D9 1100001101100100 0010010111100010 0011010101010100 01XXXXXX
663
;
664
;00DA ALU DOUBLE,,,FTOB.F I\. PLUS & 2A & ALUC & BASW I\. /IOC,,TO.A I\. HLD
665
00DA 1100000000101001 0110100111110001 0011011101010100 11000100
666
;
667
;00DB ALU DOUBLE ,PC I\. OR I\. 2A I\. ALUC & IOC,DH,TO.A I\. BASW I\. /MEMW & IF R.F,,READY I\. NUM, $
668
00DB 1100001101101111 1110010111100101 0011011111110100 01011100
669
;
670
;00DC LDAX.B: ALU DOUBLE,B I\. BASW I\. 2A I\. OR & IOC,,TO.A & ALUC I\. HLD
671
00DC 1100000000101001 0110100111110001 0011011000010100 01011100
672
;
673
;00DD ALU DOUBLE,PC & OR & 2A I\. IOC,,TO.A & ALUC I\. BASW I\. /MEMR & IF,INV,READY & NUM, $
674
00DD 1100001101110100 0010010111010001 0011011111110100 01011100
675
;
676
;00DE ALU,,A,FTOB.F & OR & D2 & ALue I\. BASW & IDC & /NOC I\. IF R.F,INV,HOLD & NUM,HLDF
677
00DE 1100000000101111 1010100111110000 0011010101001110 11011111
678
;
679
;00DF STAX: ALU DOUBLE & BASh! SW I\. 2B & OR & IDC  TO. A & si.uc I\. HLD
680
00DF 1100000000101001 0110101111110001 0011011101010100 01011011
681
;
682
;00E0 ALU,A & OR & 2A & IOC.,TO.D & BASW I\. ALue & HLD
683
00E0 1100000000101001 0110100111110000 1011010011110100 01011100
684
;
685
;00E1 ALU DOUBLE,PC & OR & 2A & IOC,DH.TO.A & BASW I\. ALUC & /MEMW & IF R.F,INV,HOLD & NUM, HLDF
686
00E1 1100000000101111 1010100111100101 0011011111110100 01011100
687
;
688
;00E2 WXCHG:   ALU DOLlBLE,D,,FTOB.F &   OR & 2A & ALLIe &   BASh! & IDe   & HLD
689
00E2 1100000000101001 0110100111110000 0011011001010100 11011100
690
;
691
;00E3 ALU DOLlBLE,H,D,FTOB.F I\.   OR I\. 2A & ALue &   BASW & IDe   I\. HLD
692
00E3 1100000000101001 0110100111110000 0011011010000100 11011100
693
;
694
;00E4 ALU DOUBLE,,H,FTOB.F & OR & 2A & ALue & BASh! I\. IOC   I\.   /NOC & IF R.F,INV,HOLD & NLlM,HLDF
695
00E4 1100000000101111 1010100111110000 0011011101001000 11011100
696
;
697
;00E5 U
698
00E5 1100000000110101 0010010111010001 0011011111111110 11000100
699
;
700
;00E6 ALLI,.E.FTOB.F & OR & D2 & ALLIe ~ BASW & HLD & IDe
701
00E6 1100000000101001 0110100111110000 0011010101000110 11011111
702
;
703
;00E7 INCPC s, MMR
704
00E7 1100000000110101 0010010111010001 0011011111111110 11000100
705
;
706
;00E8 ALU,,D.FTOB.F. & OR & D2 & ALUC & BASW & NOC & /IF R.F,INV,HOLD & NUM,HLDF & IOC
707
00E8 1100000000101111 1010100111110000 0011010101000100 11011111
708
;
709
;00E9 LXIH: INCPC ~ MMR
710
00E9 1100000000110101 0010010111010001 0011011111111110 11000100
711
;
712
;00EA ALU,,L,FTOB.F ~ OR ~ DZ   ~ ALUC ~ BASW & HLD ~ IOC
713
00EA 1100000000101001 0110100111110000 0011010101001010 11011111
714
;
715
;00EB INCPC & MMR
716
00EB 1100000000110101 0010010111010001 0011011111111110 11000100
717
;
718
;00EC ALU,,H,FTOB.F,   & OR 3. DZ 3. ALUC 3. BASW 3.   NOC &     /IF R.FdNY,HOLD 3. NUM.HLDF & IOC
719
00EC 1100000000101111 1010100111110000 0011010101001000 11011111
720
;
721
;00ED LXISP:   INCPC & MMR
722
00ED 1100000000110101 0010010111010001 0011011111111110 11000100
723
;
724
;00EE ALU DOUBLE,,SP,FTDB.F &   DR & D2 & ALUC &   BASW 3. HLD   & ID   C
725
00EE 1100000000101001 0110100111110000 0011011101010000 11011111
726
;
727
;00EF INCPC & MMR
728
00EF 1100000001110101 0010010111010001 0011011111111110 11000100
729
;
730
;00F0 ALU,,$P.FTOB.F. & OR & DZ & ALUC & BASW & NOC & /IF R. F. INY, HOLD & NUM, HLDF & IDC
731
00F0 1100000000101111 1010100111110000 0011010101010000 11011111
732
;
733
;00F1 INXDI ALU DOUBLE,,D.FTDB.F & PLUS & ZB & ALUC & BASW & IDC & HLD
734
00F1 1100000000101001 0110100111110000 0011011101000100 11000011
735
;
736
;00F2 ALU DDUBLE,D,E,FTDB.A & OR :$, DZ & ALUC,SWAP & BASW & /IDC 
737
00F2 1100000000101111 1010100111110000 0011111001000110 10011111
738
;
739
;00F3 INXH: ALU DDUBLE,,H,FTOB.F & PLUS & ZB & ALUC & BASW & IOC & HLD
740
00F3 1100000000101001 0110100111110000 0011011101001000 11000011
741
;
742
;00F4 ALU DDUBLE,H,L,FTOB.A & OR & DZ & ALUC,SWAP & BASW & /IDC & NDC & IF R.F.INY,HDLD & NUM,HLDF
743
00F4 1100000000101111 1010100111110000 0011111010001010 10011111
744
;
745
;00F5 INXSPI ALU DOUBLE,,SP,FTDB.F , PLUS & ZB & ALUC & BASW , IDC & /NDC' IF R.F,INY,HDLD & NUM,HLDF
746
00F5 1100000000101111 1010100111110000 0011011101010000 11000011
747
;
748
;00F6 DCXD: ALU DDUBLE,,D,FTDB.F & SUNIM , ZB & ALUC,,CNL & /BASW & IDC & HLD
749
00F6 1100000000101001 0110100111110000 0011001101000100 11001011
750
;
751
;00F7 ALU DOU~lE,D,E,FTDB.A & OR & DZ , ALUC,$WAP & IDC & /NOC & IF R.F,INV,HOLD & NUM,HLDF
752
00F7 1100000000101111 101010X111110000 0X11111001000110 10011111
753
;
754
;00F8 DCXH: ALU DOUBLE,,H,FTOB.F & SUNIM & ZB & ALUC,,CNL & /BASW & IOC & HLD
755
00F8 1100000000101001 0110100111110000 0011001101001000 11001011
756
;
757
;00F9 ALU DOUBLE,H,L,FTOB.A & OR & DZ & ALUC,SWAP & IDC & IOC & /NOC & IF R.F,INV,HOLD & NUM,HLDF
758
;00F9 1100000000101111 101010X111110000 0X11111010001010 10011111 -- $BUGBUG: unsure about Xs?
759
00F9 1100000000101111 1010100111110000 0011111010001010 10011111  -- Fixed: made same as 00F4
760
;
761
;00FA DCXSP: ALU DOUBLE,,SP,FTOB.F & SUNIM 3. ZB 3. ALUC,,CNL & /BASW & IOC & NDC & IF R.F,INY,HOLD , NUM,HLDF
762
00FA 1100000000101111 1010100111110000 0011001101010000 11001011
763
;
764
;00FB POP.D: ALLI DOUBLE,SP,SP,FTOB.A & DR & ZA & ALUC & BASI~ & dOC,,TO.A' NOC 3. IF.,C.SBR,,HOLD & NUM,HLDSB
765
00FB 1100000000101001 0110100111110001 0011011100010000 10011100
766
;
767
;00FC ALU DOUBLE,SP,SP,FTOB.F & PLUS' ZA & ALUC 3. BASW 3. / IOC,,TO.A 3. MEMR & IF C.SBR,INV,READY 3. NUM,MI'1RSP
768
00FC 1100000001000101 0010010111010001 0011011100010000 11000100
769
;
770
;00FD ALU, ,E,FTDB.F 3. DR 3. DZ 3. ALUC 3. roc & BASI~ , HLD
771
00FD 1100000000101001 0110100111110000 0011010101000110 11011111
772
;
773
;00FE ALU DDUBLE,SP,SP,FTDB.F , PLlIS' ZA , ALLIC & BASW , dOC,,TO.A & MEMR 3. IF C.SBRolNY,READY & NUM,MMRSP
774
00FE 1100000001000101 0010010111010001 0011011100010000 11000100
775
;
776
;00FF ALU  D,FTDB.F & DR & DZ & ALLIC 3. IOC 3. BASW , HLD
777
00FF 1100000000101001 0110100111110000 0011010101000100 11011111
778
;
779
;0100 ALU DOUBLE,PC a, DR & ZA & ALLIC & IOC,,TD.A 3. BAS'I~ , /NOC & IF R.F,INY,HOLD & NUM.HLDF
780
0100 1100000000101111 1010100111110001 0011011111110100 01011100
781
;
782
;0101 PDP.H: ALLI DOUBLE,SP,SP,FTDB.A & DR & ZA & AlUC & BASW & -'IOC,,TD.A & NOC & IF C.S'BR.,HOLD & NUM,HlDSB
783
0101 1100000000101001 0110100111110001 0011011100010000 10011100
784
;
785
;0102 Alll DlJlIBLE,SP,SP,FTIJII.F & PL1JS & ZA s, ALLIC , BASI~ ~, ./ IOC,,TIJ.A & MEMR , IF C.SBR,INV,READY , NUM,MMRSP
786
0102 1100000001000101 0010010111010001 0011011100010000 11000100
787
;
788
;0103 ALU,,L,FTOB.F & DR , DZ & ALLIC & IOC & I1ASW & HLD
789
0103 1100000000101001 0110100111110000 0011010101001010 11011111
790
;
791
;0104 ALU DDlIBLE,SP,;SP,FTDB.F & PLUS' ZA & ALlIC' BASI,), /IOC TD.A & MEMR & IF C.SBRdNY'READY , NUM,p1P1RSP
792
0104 1100000001000101 0010010111010001 0011011100010000 11000100
793
;
794
;0105 ALU,,H,FTOB.F & DR & DZ 3. ALLIe & IOC & BASW & HLD
795
0105 1100000000101001 0110100111110000 0011010101001000 11011111
796
;
797
;0106 ALU DOUBLE, PC & DR & ZA & ALUC , IOC,,TO.A 3. BASW , /NDC & IF R.F,INY,HOLD & NUM.HlDF
798
0106 1100000000101111 1010100111110001 0011011111110100 01011100
799
;
800
;0107 JNZ: NALLI & IDC 3. NOC 3. IF .INV,Z , NlIM,JMP
801
0107 1100000100001000 0000000111110000 0011010101010100 01XXXXXX
802
;
803
;0108 INCPC & HLD
804
0108 1100000000101001 0110100111110001 0011011111111110 11000100
805
;
806
;0109 INCPC & IF R.F,INV,HOlD & NUM,HlDF & Noe
807
0109 1100000000101111 1010100111110001 0011011111111110 11000100
808
;
809
;010A CNZ: NAlU s, IDC s, NOC & IF dNV,Z & NUM,CAll
810
010A 1100000100011100 0000000111110000 0011010101010100 01XXXXXX
811
;
812
;010B INCPC & HlD
813
010B 1100000000101001 0110100111110001 0011011111111110 11000100
814
;
815
;010C INcpe & NOC & IF R.F,INV,HOlD & NUM,HlDF
816
010C 1100000000101111 1010100111110001 0011011111111110 11000100
817
;
818
;010D RN2:   NAlU &   IOC & NOC & IF R.F,,Z & NUM,RET
819
010D 1100000101000011 1100000111110000 0011010101010100 01XXXXXX
820
;
821
;010E ú)Z:   NAlU &   IDC & NOC & IF,   ,2 & NUM,.JMP
822
010E 1100000100001000 0100000111110000 0011010101010100 01XXXXXX
823
;
824
;010F INCPC & HlD
825
010F 1100000000101001 0110100111110001 0011011111111110 11000100
826
;
827
;0110 INCPC & NOC & IF R.FoINV,HOlD & NUM,HLDF
828
0110 1100000000101111 1010100111110001 0011011111111110 11000100
829
;
830
;0111 CZ: NAlU & IOC & NOC & IF , ,2 & NUM,CAlL
831
0111 1100000100011100 0100000111110000 0011010101010100 01XXXXXX
832
;
833
;0112 INCPC & HLD
834
0112 1100000000101001 0110100111110001 0011011111111110 11000100
835
;
836
;0113 INCPC & NOC & IF R.F,INV,HOLD & NUM,HLDF
837
0113 1100000000101111 1010100111110001 0011011111111110 11000100
838
;
839
;0114 RZ: NAlU & IOC & HOC & IF R.F,INV,Z & NUM,RET
840
0114 1100000101000011 1000000111110000 0011010101010100 01XXXXXX
841
;
842
;0115 .JNC: NALU & IOC & NOC & IF ,INV,CY & NUM,.JMP
843
0115 1100000100001000 0000010111110000 0011010101010100 01XXXXXX
844
;
845
;0116 INCPC &HlD
846
0116 1100000000101001 0110100111110001 0011011111111110 11000100
847
;
848
;0117 INCPC & NOC & IF R.F,INV,HOLD & NUM,HlDF
849
0117 1100000000101111 1010100111110001 0011011111111110 11000100
850
;
851
;0118 CNC: NAlU & IOC & Noe & IF ,INV,CY'& NUM,CALL
852
0118 1100000100011100 0000010111110000 0011010101010100 01XXXXXX
853
;
854
;0119 INCPC & HLD
855
0119 1100000000101001 0110100111110001 0011011111111110 11000100
856
;
857
;011A INCPC & NOC & IF R.F ,INV,HOLD & NUM,HLDF
858
011A 1100000000101111 1010100111110001 0011011111111110 11000100
859
;
860
;011B RNC: NALU & IDC & NOC & IF R.F,,CY & NOM,RET
861
011B 1100000101000011 1100010111110000 0011010101010100 01XXXXXX
862
;
863
;011C .JC: NALU & IOC & NOC & IF ,,CY & NUM .JMP
864
011C 1100000100001000 0100010111110000 0011010101010100 01XXXXXX
865
;
866
;011D INCPC & HLD
867
011D 1100000000101001 0110100111110001 0011011111111110 11000100
868
;
869
;011E INCPC s, NDC e, IF R.FoINy.HDLD & NUM,HLDF
870
011E 1100000000101111 1010100111110001 0011011111111110 11000100
871
;
872
;011F CC: NALU & IOC a NDC & IF ,,CY & NUM, CALL
873
011F 1100000100011100 0100010111110000 0011010101010100 01XXXXXX
874
;
875
;0120 INCPC & HLD
876
0120 1100000000101001 0110100111110001 0011011111111110 11000100
877
;
878
;0121 INCPC & NOC & IF R.F,INY,HOLD & NUM,HLDF
879
0121 1100000000101111 1010100111110001 0011011111111110 11000100
880
;
881
;0122 RC:   NALU &   IDC & NOC & IF R.F,INY,CY & NUM,RET
882
0122 1100000101000011 1000010111110000 0011010101010100 01XXXXXX
883
;
884
;0123 JPO:   NALU &   IDC & NOC & IF',INY,P & NUM,JMP
885
0123 1100000100001000 0000100111110000 0011010101010100 01XXXXXX
886
;
887
;0124 INCPC   & HLD
888
0124 1100000000101001 0110100111110001 0011011111111110 11000100
889
;
890
;0125 INCPC   & NOC & IF R.F,INY,HDLD & NUM,HLDF
891
0125 1100000000101111 1010100111110001 0011011111111110 11000100
892
;
893
;0126 CPO:   tlALU &   IOC & NOC & IF ,INY,P & NUM,CALL
894
0126 1100000100011100 0000100111110000 0011010101010100 01XXXXXX
895
;
896
;0127 INCPC & HLD
897
0127 1100000000101001 0110100111110001 0011011111111110 11000100
898
;
899
;0128 INCPC   & NOC & IF R.F,INV,HOLD& NUM,HLDF
900
0128 1100000000101111 1010100111110001 0011011111111110 11000100
901
;
902
;0129 RPO:   NALU &   IOC & NOC & IF R.F,,P & NUM,RET
903
0129 1100000101000011 1100100111110000 0011010101010100 01XXXXXX
904
;
905
;012A JPE:   NALU &   IOC & NOC & IF ,,p 3. NUM,~MP
906
012A 1100000100001000 0100100111110000 0011010101010100 01XXXXXX
907
;
908
;012B INCPC   3. HLD
909
012B 1100000000101001 0110100111110001 0011011111111110 11000100
910
;
911
;012C INCPC   I), NOC &IF R.FdNY,HOLD :I, NUM,HLDF
912
012C 1100000000101111 1010100111110001 0011011111111110 11000100
913
;
914
;012D CPE:   NALU :I,   IOC & NOC & IF ,,p & NUM,CALL
915
012D 1100000100011100 0100100111110000 0011010101010100 01XXXXXX
916
;
917
;012E INCPC   $. HLD
918
012E 1100000000101001 0110100111110001 0011011111111110 11000100
919
;
920
;012F INCPC   & NOC & IF R.F,INY,HOLD & NUM,HLDF
921
012F 1100000000101111 1010100111110001 0011011111111110 11000100
922
;
923
;0130 RPE:   NALU &   IOC & NOC &   IF R.F.INY,P   & NUM,RET
924
0130 1100000101000011 1000100111110000 0011010101010100 01XXXXXX
925
;
926
;0131 JP:   NALU & IOC & NOC & IF, INV, S & NUM,JMP
927
0131 1100000100001000 0000110111110000 0011010101010100 01XXXXXX
928
;
929
;0132 INCPC   & HLD
930
0132 1100000000101001 0110100111110001 0011011111111110 11000100
931
;
932
;0133 INCPC   & NOC & IF R.F,INV,HOLD & NUM,HLDF
933
0133 1100000000101111 1010100111110001 0011011111111110 11000100
934
;
935
;0134 CP:   NALU S.   IOC S. NOC ~ IF R.F,,$ & NUM,CALL
936
0134 1100000100011111 1100110111110000 0011010101010100 01XXXXXX
937
;
938
;0135 INCPC   & HLD
939
0135 1100000000101001 0110100111110001 0011011111111110 11000100
940
;
941
;0136 INCPC   S. NOC S. IF R.F,INV.HOLD S. NUM.HLDF
942
0136 1100000000101111 1010100111110001 0011011111111110 11000100
943
;
944
;0137 RP:   NALU S.   IOC & NOC S. IF .INY,S & NUM,RET
945
0137 1100000101000000 0000110111110000 0011010101010100 01XXXXXX
946
;
947
;0138 JM:   NALU a,   IOC & NOC & IF ,,S S. NUM,JMP
948
0138 1100000100001000 0100110111110000 0011010101010100 01XXXXXX
949
;
950
;0139 ItKPC   S. HLD
951
0139 1100000000101001 0110100111110001 0011011111111110 11000100
952
;
953
;013A INCPC & NOC & IF R.F,INY,HOLD S. NUM,HLDF
954
013A 1100000000101111 1010100111110001 0011011111111110 11000100
955
;
956
;013E CM: NALU & IOC & NOC :I. IF ,,s & NUM,CALL
957
013B 1100000100011100 0100110111110000 0011010101010100 01XXXXXX
958
;
959
;013C INCPC & HLD
960
013C 1100000000101001 0110100111110001 0011011111111110 11000100
961
;
962
;013D INepc 'NOC:I. IF R.F,INV,HOLD :I. NUM,HLDF
963
013D 1100000000101111 1010100111110001 0011011111111110 11000100
964
;
965
;013E RM: NALU' IOC & NOC & IF R.F,INV,S :I. NUM,RET
966
013E 1100000101000011 1000110111110000 0011010101010100 01XXXXXX
967
;
968
;013F DAA: tiRLU & IOC :I. HLII
969
013F 1100000000101001 0110100111110000 0011010101010100 01XXXXXX
970
;
971
;0140 NALU & IDC & IF.INV & NUM DBUS,006 & NOC
972
0140 1000000000011000 0011110111110000 0011010101010100 01XXXXXX
973
;
974
;0141 ALU,,,FTOB.F & OR :I. DZ & ALUC :I. BASW & IOC & /NOC & IF,.Ae & NUM,DAAI
975
0141 1100010100011000 0101000111110000 0011010101010100 11011111
976
;
977
;0142 NALU , IDC ll. IF,INV :l. NUM D.BUS,OOF S. NOC
978
0142 1000000000111100 0011110111110000 0011010101010100 01XXXXXX
979
;
980
;0143 ALU, A  FTOQ & AND S. DA & ALUC :I. BASW :I. IDC , /NOC S. IF,INV & NUM DBUS,OOA
981
0143 1000000000101000 0011110111110000 0011010011110100 00100101
982
;
983
;0144 ALU a. DQ & SUIHM a. fiLUC a. BASW & IDC & HLD
984
0144 1100000000101001 0110100111110000 0011010101010100 01001110
985
;
986
;0145 tiALU II< IDC & NOC & IFdNY,CN.4 & NUM.$+2
987
0145 1100010100011100 0011100111110000 0011010101010100 01XXXXXX
988
;
989
;0146 DAAl: ALU A,FTDB.F & PLUS & AB a ALUC UPDTALL,,CNL & /IOC & BASW & NOC & IF C.SBR  CY & NUM.DAA4
990
0146 1100010101111101 0100010111110000 0000000101001110 11000001
991
;
992
;0147 NALU & IOC & IF,INY & NUM DBUS, 060 2. NOC
993
0147 1000000110000000 0011110111110000 0011010101010100 01XXXXXX
994
;
995
;0148 ALU  ,FTOB.F & DR & DZ & ALUC & BASW & IOC & /NOC' IF,,CY , NUM,DAA3
996
0148 1100010100111100 0100010111110000 0011010101010100 11011111
997
;
998
;0149 NALU 2. IDC i!, IF,INV & NUN DEUS,OFO & NOC
999
0149 1000001111000000 0011110111110000 0011010101010100 01XXXXXX
1000
;
1001
;014A ALU,A,,FTOQ & AND & DA & ALUC & BASW 2. IOC & /NOC & IF,INY & NUM DBIJS,OAO
1002
014A 1000001010000000 0011110111110000 0011010011110100 00100101
1003
;
1004
;014B ALU & DQ & SUNIM & ALUC & BASW & IOC il. HLD
1005
014B 1100000000101001 0110100111110000 0011010101010100 01001110
1006
;
1007
;014C ~jALU & IOC & NOC il. IF.INY,CN.4 & NlIM,$+2
1008
014C 1100010100111000 0011100111110000 0011010101010100 01XXXXXX
1009
;
1010
;014D DAA2: ALU,,A,FTOB.F il. PLUS & AB & ALUC UPDTALL ,,CNL il. /BASI.oJ & IDC & HLD
1011
014D 1100000000101001 0110100111110000 0000000101001110 11000001
1012
;
1013
;014E NALU & IOC & NOC & IF R.F,ltlV,HOLD & NUM,HLDF
1014
014E 1100000000101111 1010100111110000 0011010101010100 01XXXXXX
1015
;
1016
;014F DAA3: ALU.,A,FTOB.F ~ PLUS ~ AB & ALUC UPDTFL,,CNL & /BASW & IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
1017
014F 1100000000101111 1010100111110000 0010000101001110 11000001
1018
;
1019
;0150 POP.PSWI ALU DOUBLE,SP,SP,FTOB.A :l. DR s, Zti & ALUC & BASW e, IOC,,T O.A &/NOC & IF C.SBR,,HOLD & NUM,HLDSB
1020
0150 1100000000101001 0110100111110001 0011011100010000 10011100
1021
;
1022
;0151 ALU DOUBLE.SP,SP,FTDB.F =I< PLUS & ZA & ALLIe & BASW & MEMR & /IDC,,TO.A & IF C.SBRdNY,READY e, NUM,MMRSP
1023
0151 1100000001000101 0010010111010001 0011011100010000 11000100
1024
;
1025
;0152 ALlJ & NAND & ALUC & I DC & BASI,) & HLD
1026
0152 1100000000101001 0110100111110000 0011010101010100 01101XXX
1027
;
1028
;0153 ALU DOUBLE,$P,SP,FTOB.F ~ PLUS & ZA & ALUC & BASW & dOC,,TO.A ~ MEMR ~ IF-C.SBR.JNV,READY & NUM.MMRSP
1029
0153 1100000001000101 0010010111010001 0011011100010000 11000100
1030
;
1031
;0154 ALU,,A,FTDB.F ~ DR & D2 & ALUC & BASW & IOC & HLD
1032
0154 1100000000101001 0110100111110000 0011010101001110 11011111
1033
;
1034
;0155 ALU DOUBLE,PC & DR & ZA & ALUC ~ IOC,,TO.A ~ BASW ~ NOC & /IF R.F,INV,HOLD & NUM,HLDF
1035
0155 1100000000101111 1010100111110001 0011011111110100 01011100
1036
;
1037
;0156 LDAX.D: ALU DOUBLE,D & BASW ~ ZA & DR & IOC,,TO.A & ALUC & HLD
1038
0156 1100000000101001 0110100111110001 0011011001010100 01011100
1039
;
1040
;0157 ALU DOUBLE,PC & DR & ZA ~ IOC,,TO.A ~ ALUC & BASW & /MEMR ~ IF,INY,READY & NUM, $
1041
0157 1100010101011100 0010010111010001 0011011111110100 01011100
1042
;
1043
;0158 ALU,,A,FTOB.F & DR & DZ & ALUC ~ BASW & IOC & /NOC & IF R.F,INY,HOLD & NUM,HLDF
1044
0158 1100000000101111 1010100111110000 0011010101001110 11011111
1045
;
1046
;0159 XCHG: ALU DOUBLE,D,L,FTOB.A & OR & DZ ALUC, SWAP & BASW & IOC & HLD
1047
0159 1100000000101001 0110100111110000 0011111001001010 10011111
1048
;
1049
;015A ALU DOUBLE,H,D,FTOB.A & DR & ZA & ALUC & BASW & IOC & HLD
1050
015A 1100000000101001 0110100111110000 0011011010000100 10011100
1051
;
1052
;015B ALU DOUBLE,L,H,FTOB.A & DR & DZ & ALUC,SWAP & BASW & IOC & HLD
1053
015B 1100000000101001 0110100111110000 0011111010101000 10011111
1054
;
1055
;015C ALU DOUBLE,D,E,FTOB.A & ALUC,SWAP & BASW & IOC , /NOC & IF R.F,INV,HOLD & NUM,HLDF & DR & DZ
1056
015C 1100000000101111 1010100111110000 0011111001000110 10011111
1057
;
1058
;015D SBI1: INCPC & MMR
1059
015D 1100000000110101 0010010111010001 0011011111111110 11000100
1060
;
1061
;015E ALU,A,A,FTOB.F & DA & SUNIM & ALUC UPDTALL,, CNL & IOC & /BASW & NOC & IF R.F,INV,HOLD & NUM,HLDF
1062
015E 1100000000101111 1010100111110000 0000000011101110 11001101
1063
;
1064
;015F DAA4: ALU,Hec & SUNIM & ZA , ALUC UPDTCY,,CNL & BASW & /IDC & NOC & IF R.RTN , NUM
1065
015F 1100000000000001 1111110111110000 0001000110010100 01001100
1066
;
1067
;0000 ORG H#1FF
1068
;
1069
;01FF INTRPT: NALU & IOC & NOC & IF & NUM,INTHNDL
1070
01FF 1100001000010000 0111110111110000 0011010101010100 01XXXXXX
1071
;
1072
;0200 END

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.