OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [Am9080/] [prom/] [microcode_original.mif] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 zpekic
;EMULATOR ASSEMBLY (MARCH 1977)
2
;07/06/77
3
;
4
;PC SOURCE AND/OR OBJECT CODE. x = DONT CARE
5
0000 ;INITIALIZATION:
6
 
7
0000 RESET: ALU DOUBLE, PC,PC.FTOB.F & AND & ZA :\, ALUC s, BASW & /lOC,, TO. INTE a IF.INY, & NUM DBUS, Hc:3&& NOC
8
0000 1000000011100000 0011110111110001 1011011111111110 11100100
9
 
10
0001 ALU,H#D,FTOB.F & DR & D2 & ALUC & BASW & 10C & /NOC & IF,INV & NUM
11
0001 1100000000000000 0011110111110000 0011010101011010 11011111
12
 
13
0002 ALU,H#C,FTOB.F & ANII *' 2Ft a, ALUC s, BASW & IOC & /NOC & IF,INV & NUM
14
0002 1100000000000000 0011110111110000 0011010101011000 11100100
15
 
16
0003 ALU,,,FTOB.F & AND :\, ZA & st.uc & BASLJ & IOC,,TO.A & /NOC & IF R.PUSH & NUM
17
0003 1100000000000011 0111110111110001 0011010101010100 11100100
18
 
19
0004 FETCH: ALU DOUELE,PC,PC,FTDB.F & DR & 2A :\, ALUC & BASI,,, s, /IOC IN.,TO.A :\, MEMR & IF .INV,READY & NUM, $
20
0004 0100000000010000 0010010111010001 0011011111111110 11011100
21
 
22
0005 INCPC & IF D.R. ,HOLD & NUM,HLDD & NOC
23
0005 1100000000110000 1110100111110001 0011011111111110 11000100
24
 
25
0000
26
0000 ;HOLD AND MEMORY REFERENCE SUBROUTINES AND HANDLERS:
27
0000
28
 
29
0000 ORG 10
30
 
31
000A HLDSB: NALU & IOC a, HLDA & IF R.RTN, INV, HOLD & ttUM. s
32
000A 1100000000101001 1010100111111000 0011010101010100 01XXXXXX
33
 
34
000B HLDF: HALU g, IDC & HLDA & IF R.F, INY,HOLD & l'tUM, s
35
000B 1100000000101111 1010100111111000 0011010101010100 01XXXXXX
36
 
37
000C HLDD: ttALU *' IDe & HLDA & IF D.R. ,HOLD & NUM. :I;
38
000C 1100000000110000 1110100111111000 0011010101010100 01XXXXXX
39
 
40
000D ~!MRSB: ALU DOUBLE,PC,PC.FTOB.F & DR & 2A & IOC,,TO.A :\, /ALUC & MEMR & IF R.RTN. ,READY & HUM. $ & BASW
41
000D 1100000000110101 1110010111010001 0011011111111110 11011100
42
 
43
000E 11MWSB: ALU DOUBLE,PC,PC,FTOB.F & DR & ZA & ALUC & /IOC, DH. TO.A & NUM • s & IF R.RTtt. ,READY & BASW e, ME MI,)
44
000E 1100000000111001 1110010111100101 0011011111111110 11011100
45
 
46
000F MMWF: ALU DOUBLE.PC.PC.FTOB.F & DR & ZA & ALue & BASW & /MEMW & IF R.F,,READY *' IOC. DH.TO.A & NUM, $
47
000F 1100000000111111 1110010111100101 0011011111111110 11011100
48
 
49
0010 MMRF: ALU DOUELE.PC,PC,FTOB.F & DR & ZA & ALUC & BASW :\, MEMR & /IOC,,TO.A ~ IF R.F ,,READY ~ NUM , $
50
0010 1100000001000011 1110010111010001 0011011111111110 11011100
51
 
52
0011 MMRSP: ALU DOUBLE,SP,SP,FTOB.F ~ OR ~ 2A & IOC,,TO.A & BASW & /ALUC & MEMR & IF R.RTN.,READY & NUM, $
53
0011 1100000001000101 1110010111010001 0011011100010000 11011100
54
 
55
0012 MMWSPH: ALU DOUBLE,SP,SP',FTOB.F s, DR & 2A & ALUC 3. BASbJ & /IOC,DH,TO.A & MEMW 3. IF R.RTN, ,READY 3. NUM, $
56
0012 1100000001001001 1110010111100101 0011011100010000 11011100
57
 
58
0013 MMWSPL: ALU DOUBLE, Sp, Sp, F TOB. F 3. DR & ZA & ALUC & BASW & /IOC,DL,TO.A & MEMW & IF R.RTN,,READY & NUM, $
59
0013 1100000001001101 1110010111100011 0011011100010000 11011100
60
 
61
0000
62
0000 ;MACROCODES:
63
0000
64
 
65
0014 MOVRR: ftLU, ,,FTOB.F & ALUC & BASW SW,SW & OR & 2A & IOC & /IF R.F, INV,HOLD 3. NUM, HLDF & NOC
66
0014 1100000000101111 1010101111110000 0111010101010100 11011100
67
 
68
0015 MOVMR: ALU DOUBLE, H 3. ftLUC & DR & 2ft 3. BASW 3. IDC,,TO.A & HLD
69
0015 1100000000101001 0110100111110001 0011011010010100 01011100
70
 
71
0016 ALU.& OR & 2A & BASW, SW ~< ftLUC & IOC,,TD.D 3. HLD
72
0016 1100000000101001 0110100111110000 1111010101010100 01011100
73
 
74
0017 ALU DOUBLE,PC.PC,FTOB.F & ALUC & OR & ZA & BASW & /MEMW & IF R.F,,READY & NUM, s & . IOC, DH, TO.A
75
0017 1100000001011111 1110010111100101 0011011111111110 11011100
76
 
77
0018 MOVRM: ALU DOUBLE, H & ALUC & OR & 2A & BASW & IOC,,TO.A & HLD
78
0018 1100000000101001 0110100111110001 0011011010010100 01011100
79
 
80
0019 ALU DOUBLE,PC,PC,FTOB.F 3. DR & ZA & ALUC & BASW & MMR & /lOC, , TO.A
81
0019 1100000000110101 0010010111010001 0011011111111110 11011100
82
 
83
001A ALU,.,FTOB.F & OR & DZ & BASW SW & ALUC & IOC 3. /NOC & IF R.F, INV, HOLD & NUM. HLDF
84
001A 1100000000101111 1010101111110000 0011010101010100 11011111
85
 
86
001B MVIR: INCPC & MMR
87
001B 1100000000110101 0010010111010001 0011011111111110 11000100
88
 
89
001C ALIJ, , ,FTOB. F & OR & DZ & ALUC & BASI.o.I SW & IDC / 3. NOC & IF R.F, INV, HOLD & NUM. HLDF
90
001C 1100000000101111 1010101111110000 0011010101010100 11011111
91
 
92
001D NAlU
93
001D XXXXXXXXXXXXXXXX XXXXXXOXXXXXXXXX X011010101010100 01XXXXXX
94
 
95
001E MVIM: NALU & MMR & IDe
96
001E 1100000000110101 0010010111010000 0011010101010100 01XXXXXX
97
 
98
001F ALU,,,FTOB.F &. BASW & OR &. DZ & ALUC & IOC ,,TO.D s, HLD
99
001F 1100000000101001 0110100111110000 1011010101010100 11011111
100
 
101
0020 ALU DOUBLE,H & DR & ZA & ALUC & BASW & IOC,,TO.A & HLD
102
0020 1100000000101001 0110100111110001 0011011010010100 01011100
103
 
104
0021 ALU DOUBLE,PC,PC,FTOB.F & PLUS & ZA & ALUC & BASW & /MEMW & IF R.F,,READY & NUM, MMWF & IOC,DH,TO.A
105
0021 1100000000111111 1110010111100101 0011011111111110 11000100
106
 
107
0022 LXIB: INCPC & MMR
108
0022 1100000000110101 0010010111010001 0011011111111110 11000100
109
 
110
0023 ALU,,C,FTOB.F & OR & DZ & ALUC & BASW & HLD & IOC
111
0023 1100000000101001 0110100111110000 0011010101000010 11011111
112
 
113
0024 INCPC & MMR
114
0024 1100000000110101 0010010111010001 0011011111111110 11000100
115
 
116
0025 ALU,,B,FTOB.F, & OR & D2 & ALUC &. BASW &. NOC & /IF R.F,INY,HOLD & NUM,HLDF & IOC
117
0025 1100000000101111 1010100111110000 0011010101000000 11011111
118
 
119
0026   LDA:   INCPC & MMR
120
0026 1100000000110101 0010010111010001 0011011111111110 11000100
121
 
122
0027 ALU DOUBLE,,jFTOB.F & OR   & DZ & ALUC & BASW & HLD &   lOC
123
0027 1100000000101001 0110100111110000 0011011101010100 11011111
124
 
125
0028 INCPC & MMR
126
0028 1100000000110101 0010010111010001 0011011111111110 11000100
127
 
128
0029 ALU,,,FTOB.F &. DR &. DZ &.   ALUC 3, BASI.
129
0029 1100000000101001 0110100111110000   0011010101010100 11011111
130
 
131
002A ALU DOUBLE,,   &. OR ~, 2A &.   ALUC '1 BASW & rue •• TO. A &   HLD
132
002A 1100000000101001 0110100111110001 0011011101010100 01011100
133
 
134
002B ALU DOUBLE,PC,PC,~TOB.F &. OR & 2A & ALUC & BASW & /10C,,TO.A & MMR
135
002B 1100000000110101 0010010111010001 0011011111111110 11011100
136
 
137
002C ALU.A,A,FTOB.F & OR & I1Z & ALIJC & BASW &. IOC &0 /NOC & IF R.F,INY,HOLD & NUM, HLDF
138
002C 1100000000101111 1010100111110000 0011010011101110 11011111
139
 
140
002D STA' INCPC & MMR
141
002D 1100000000110101 0010010111010001 0011011111111110 11000100
142
 
143
002E ALU DOUBLE,,, FTOB. F & DR & DZ & ALIJC & BASW & roc a, HLD
144
002E 1100000000101001 0110100111110000 0011011101010100 11011111
145
 
146
002F INCPC & MMR
147
002F 1100000000110101 0010010111010001 0011011111111110 11000100
148
 
149
0030 ALU,,,FTDB.F & OR & DZ & ALUC & BASW Ii- roc & HLD
150
0030 1100000000101001 0110100111110000 0011010101010100 11011111
151
 
152
0031 ALU DOUBLE,,,FTOB.F & DR & 2A & ALUC & BASW & IOC.,TO.A '1 /HLD
153
0031 1100000000101001 0110100111110001 0011011101010100 11011100
154
 
155
0032 ALU,A,A,FTOB.F ~ OR ~ 2A ~ ALUC ~ BASW & IDC,,TD.D & HLD
156
0032 1100000000101001 0110100111110000 1011010011101110 11011100
157
 
158
0033 ALU DDUBLE,PC,PC,FTOB.F ~ OR ~ 2A & ALUC & BASW & ,,IOC,DH,TD.A & MEMI,, & IF R.F,,READY & NUM, MMWF
159
0033 1100000000111111 1110010111100101 0011011111111110 11011100
160
 
161
0034 ADDR: ALU •• A,FTOB.F & PLUS & AB & BASW,SI,, & ALUC UPDTALL •• CNL '''IDC & NDC & IF R.F,INV,HDLD & NUM,HLDF
162
0034 1100000000101111 1010100111110000 0100000101001110 11000001
163
 
164
0035 ADDN: All) DDUBLE,H & DR & 2A & ALUC s, BASW & IDC, ,TO.A & HLD
165
0035 1100000000101001 0110100111110001 0011011010010100 01011100
166
 
167
0036 ADDM1: ALU DDUBLE.PC,PC,FTOB.F ~DR ~ 2A & ALUC ~ BASW ~ /IOC ,,TO.A ~ MMR
168
0036 1100000000110101 0010010111010001 0011011111111110 11011100
169
 
170
0037 ALU,A,A,FTDB.F • PLUS ~ DA & ALUC UPDTALL,,CNL & /FASW 2. IDC & NDC & IF R.F,INV,HDLD & NIJM, HLDF
171
0037 1100000000101111 1010100111110000 0000000011101110 11000101
172
 
173
0038 ADI: INCPC ~ MMR
174
0038 1100000000110101 0010010111010001 0011011111111110 11000100
175
 
176
0039 ALU.A,A,FTDB.F & PLUS & DA & ALUC UPDTALL,,CNL , /BASW & IDC & NOC & IF R.F,INV,HOLD & NUM,HLDF
177
0039 1100000000101111 1010100111110000 0000000011101110 11000101
178
 
179
003A ADCR: NALU & IOC & NOC s, IF, INY, CY 3. NUM, AIIDR
180
003A 1100000011010000 0000010111110000 0011010101010100 01XXXXXX
181
 
182
003B ALU,,A.FTOB.F 3. PLUS 3. AB & BASW,SW 3. ALue UPDTALL 3. /IDC & NOC & IF R. F, INV, HOLD & NUN, HLDF
183
003B 1100000000101111 1010100111110000 0100010101001110 11000001
184
 
185
003C ADCN: ALU IlDlIBLE,H & OR e, 2A 3. ALUC & BASW 3. IDC,,TD.A & ...-NDe & IF,INV,CY 3. NUM,ADDNI
186
003C 1100000011011000 0000010111110001 0011011010010100 01011100
187
 
188
003D ALU DOUBLE ,PC,PC,FTDB.F 3. DR 3. 2A 3. ALUC 3. BASI,, 3. /IDC,,TD.A 3. MMR
189
003D 1100000000110101 0010010111010001 0011011111111110 11011100
190
 
191
003E ALU,A,A,FTDB.F 3. PLUS 3. DA 3. ALUC UPDTALL & ·BASW 3. /IOC & NOC , IF R.F,INY,HOLD & NUM,HLDF
192
003E 1100000000101111 1010100111110000 0000010011101110 11000101
193
 
194
003F ACI: NALI) & IDC & NOC & IF,zNV,CY & NUM,ADI
195
003F 1100000011100000 0000010111110000 0011010101010100 01XXXXXX
196
 
197
0040 INCPC 3. MMR
198
0040 1100000000110101 0010010111010001 0011011111111110 11000100
199
 
200
0041 ALU,A.A,FTOB.F & PLUS & DA & ALUC UPDTALL & B'ASW & /IOC & NOC & IF R.F,INY,HOLD & NUM,HLDF
201
0041 1100000000101111 1010100111110000 0000010011101110 11000101
202
 
203
0042 JMP: INCPC & MMR
204
0042 1100000000110101 0010010111010001 0011011111111110 11000100
205
 
206
0043 ALU DOUB'LE, , ,FTDB.F & OR s, D2 & ALUC & BAS~J & /IOC & HLD
207
0043 1100000000101001 0110100111110000 0011011101010100 11011111
208
 
209
0044 I NCPC & MMR ,,
210
0044 1100000000110101 0010010111010001 0011011111111110 11000100
211
 
212
0045 ALIJ,, ,FTDB. F & OR & DZ & ALUC & BASW s, IDC s, HLD
213
0045 1100000000101001 0110100111110000 0011010101010100 11011111
214
 
215
0046 ALU DOUBLE, ,PC,FTDB.A s, DR s, ZFt & ALUC & BASW & roc, ,TO.A & /' NOC & IF R.F,IN\,I,HDLD & NUM,HLDF
216
0046 1100000000101111 1010100111110001 0011011101011110 10011100
217
 
218
0047 CALL: INCPC s MMR
219
0047 1100000000110101 0010010111010001 0011011111111110 11000100
220
 
221
0048 ALU DDUB'LE,,,FTDB'.F & DR & DZ & ALUC & BASW & IOC & /HLD
222
0048 1100000000101001 0110100111110000 0011011101010100 11011111
223
 
224
0049 INCPC e, MMR
225
0049 1100000000110101 0010010111010001 0011011111111110 11000100
226
 
227
004A ALU,,,FTOB.F & OR & DZ & ALUC & BASW & IOC & HLD
228
004A 1100000000101001 0110100111110000 0011010101010100 11011111
229
 
230
004B FtLU DDUB'LE,SP,SP,FTOB.F & SUNIM & ZA & ALUC,,CNL & /BASW & IDC,,TD.A & HLD
231
004B 1100000000101001 0110100111110001 0011001100010000 11001100
232
 
233
004C ALU DOUBLE,PC & DR & ZA & ALUC s, BAS~I & !DC •• TD.D & HLD
234
004C 1100000000101001 0110100111110000 1011011111110100 01011100
235
 
236
004D ALU DDUBLE,SP,SP,FTDB'.F & SUNIM & 2A & ALUC,,CNL & /IDC,DH.TD.A & BASW & MEMW & IF C.SB'R,INY,READY & --NUM, MM~ISPH
237
004D 1100000001001001 0010010111100101 0011001100010000 11001100
238
 
239
004E ALU DOUB'LE,,PC,FTDB'.F & DR & ZA & ALUC & B'ASW & /IDC,DL,TO.A & MEMW & IF R.F,,READY & NUM, $
240
004E 1100000100111011 1110010111100011 0011011101011110 11011100
241
 
242
004F NFtLU
243
004F XXXXXXXXXXXXXXXX XXXXXXOXXXXXXXXX XOI1010101010100 01XXXXXX
244
 
245
0050 RET: ALU DDUBLE.SP,SP,FTOB.A & PLUS & ZA & BASW & ALUC & /IDC,,TD.A & NOC & IF C.SB'R & NUM,MMRSP
246
0050 1100000001000101 0111110111110001 0011011100010000 10000100
247
 
248
0051 ALU DOUBLE,PC,PC,FTOB.F ~ OR & DZ & BASW ~ ALUC & /lOC & HLD
249
0051 1100000000101001 0110100111110000 0011011111111110 11011111
250
 
251
0052 ALU DOUBLE, SP, sp, FTOB. A e, PLUS s, ZA ~ BASI,,! s, ALUC s, /IOC,,TO.A & MEMR $, IF C.SBR,INV,READY & NUM,MMRSP
252
0052 1100000001000101 0010010111010001 0011011100010000 10000100
253
 
254
0053 ALLI,PC,PC,FTDB.F & OR & 112 & ALLIC & BASW &   roc & HLD
255
0053 1100000000101001   0110100111110000 0011010111111110   11011111
256
 
257
0054 ALU DOUBLE,PC.PC,   & OR ~ 2A &. ALLIe & BASW &   IOC, ,TO.A   &  /NOC & IF R.F,INV,HOLD & NUM,   HLDF
258
0054 1100000000101111   1010100111110001   0011 01111111111 0   01011100
259
 
260
0055 RST:   ALU DOUBLE,H::C,,FTOB.F & AND Il. DA & ALUC   & BASW &     /lOC e, HLD
261
0055 1100000000101001   0110100111110000 0011011110010100   11100101
262
 
263
0056 RSTlI   ALU DOUBLE,PC Il. OR &.   ZA 2. ALUC 2. BASI~ &   roc,, TO. D   & HLD
264
0056 1100000000101001   0110100111110000   1011011111110100   01011100
265
 
266
0057 ALU DOUBLE,SP,SP,FTOB.F & SUNIM & ZA &. ALLlC,,CNL 2. /BASI,,! & IOC,, TO. A & NOC & IF C. SBR & NUM, MMWSPH
267
0057 1100000001001001 0111110111110001 0011001100010000 11001100
268
 
269
0058 ALU DOUBLE, SP,SP,FTOB.F & SLiNIM & ZA & ALUC,,CNL & /BASW & IOC,,TO.A & HLD
270
0058 1100000000101001 0110100111110001 0011001100010000 11001100
271
 
272
0059 ALLI DOUBLE •• PC.FTOB.F & ZA & OR & ALue & BASW & MEMW & /IOC,DL.TO.A 2. IF R.F •• READY & NUM, $
273
0059 1100000101100111 1110010111100011 0011011101011110 11011100
274
 
275
005A RLC: ALU,A.A.UROT.F &. OR &. ZA & BASW &. IOC & /ALUC UPDTCY & HLD
276
005A 1100000000101001 0110100111110000 0001010011101111 11011100
277
 
278
005B RLC1: NALU & IOC & NOC • IF R.F,INV.F3 , NUM.STC
279
005B 1100001001110011 1011000111110000 0011010101010100 01XXXXXX
280
 
281
005C ALLI , OR & ALUC L1PDTCY s, BASI,,! & IDC , /NOC &. IF R.F,INV.HOLD *' NUM,HL1IF
282
005C 1100000000101111 1010100111110000 0001010101010100 01011XXX
283
 
284
005D RRC: ALU.A.A.DROT.F &. OR & ZA & BASW & IOC &. ALUC UPDTCY & /HLD
285
005D 1100000000101001 0110100111110000 0001010011101111 01011100
286
 
287
005E ALU,A &. DR & ZA 3, BASW & IOC & ALue $. NOC & IF & NUM,RL
288
005E 1100000101101100 0111110111110000 0011010011110100 01011100
289
 
290
005F RAL: & ALU,A.A.UROT.F $. OR & ZA &. BASW & IOC & ALUC UPDTCY.SWAP
291
005F 1100000101101100 0111110111110000 0001110011101111 11011100
292
 
293
0060 RAR: ALU,A,A,DROT.F :l. DR :l. ZA :l. BASW :l. IOC ~ ALUC ~ HLD
294
0060 1100000000101001 0110100111110000 0011010011101111 01011100
295
 
296
0061 ALU,A,A,UROT.F ~ DR :l. ZA & BASW ~ IDC ~ ALUC & HLD
297
0061 1100000000101001 0110100111110000 0011010011101111 11011100
298
 
299
0062 ALU,A,A,DROT.F' & DR $. ZA & BASW :l. IOC & ALUC UPDTCY, /SWAP & NOC & IF R.F,INV,F3 & NUM,STC
300
0062 1100001001110011 1011000111110000 0001110011101111 01011100
301
 
302
0063 ALU & OR & ALUC UPDTCY ,I\. BASW & 10C & NOC & /IF R.F,INV,HOLD & NUM,HLDF
303
0063 1100000000101111 1010100111110000 0001010101010100 01011XXX
304
 
305
0064 PUSHRP: ALU DOUBLE & OR s ZB & ALUC & IOC •• TO. D & BASW su :l. HLD
306
0064 1100000000101001 0110101111110000 1011011101010100 01011011
307
 
308
0065 ALU DOUBLE.SP,SP,FTOB.F :l. SUNIM & ZA & ALUC.,CNL $. /IOC,,TO.A $. BASW $. HLD
309
0065 1100000000101001 0110100111110001 0011001100010000 11001100
310
 
311
0066 ALU DOUBLE,SP,SP.FTOB.F & SUNIM & ZA & ALUC.,CNL & /SASW :l. IOC,DH,TO.A & MEMW & IF C.S~R,INV,READY Il. /NUM,MMWSPH
312
0066 1100000001001001 0010010111100101 0011001100010000 11001100
313
 
314
0067 ALU DDUBLE,PC,PC,FTOB.F & OR & ZA & ALUC & BASW & /IOC,DL,TO.A & MEMW & IF R.F.,READY & NUM, $
315
0067 1100000110011111 1110010111100011 0011011111111110 11011100
316
 
317
0068 PUSHPSW: ALU, A & OR & ZA & ALUC $. IDC •• TO. D $. SASW & HLD
318
0068 1100000000101001 0110100111110000 1011010011110100 01011100
319
 
320
0069 ALU DOUBLE,SP,SP,FTOB.F & SUNIM & ZA Il. ALlIC,,CNL & /BASW & IOC •• TO.A & NOC :l. IF C.SBR & NUM,MM~ISPH
321
0069 1100000001001001 0111110111110001 0011001100010000 11001100
322
 
323
006A NALU & IOC,FLAGS & MEMW   & IF,INV,READY $. NUM,$
324
006A 1100000110101000 0010010111100110   0011010101010100 01XXXXXX
325
 
326
006B ALU DOUBLE,SP,SP,FTOB.F   Il. SUNIM & ZA & ALUC.,CNL &   BASW   ll./lOC & HLD
327
006B 1100000000101001 0110100111110000 0011001100010000 11001100
328
 
329
006C   ALU DOUBLE,PC,PC,FTOB.F   ~, OR & ZA   I), ALUC   & BASW &   IOC,,T O.A &/NOC & IF R.F, INV,HOLD & NUM,HLDF
330
006C 1100000000101111 1010100111110001 0011011111111110 11011100
331
 
332
006D INXS: ALU DOUBLE •• B, FTOB. F & PLUS & ZB & ALUC & BASW s, I DC & HLD
333
006D 1100000000101001 0110100111110000 0011011101000000 11000011
334
 
335
006E ALU DOUBLE.B,C,FTOB.A & OR & DZ & ALUC,SWAP & BASW & /IOC & NOC & IF R.F.INV,HOLD & NUM,HLDF
336
006E 1100000000101111 1010100111110000 0011111000000010 10011111
337
 
338
006F DCXB: ALU DOUBLE •• B,FTOB.F & SUNIM & ZB & ALUC,,CNL & /BASW & IOC & HLD
339
006F 1100000000101001 0110100111110000 0011001101000000 11001011
340
 
341
0070 ALU DOUBLE,B,C.FTDB.A & OR :l, DZ :l, ALUC.S~IAP & IDC & /NOC & IF R.F,INV,HOLD & NUM,HLDF
342
0070 1100000000101111 101010X111110000 0X11111000000010 10011111
343
 
344
0071 DAD. B: ALU DOUBLE,B,H,FTOB.F & PLUS & AB & ALLIC UPDTCY,,CNL & I DC a, /BASW & HLD
345
0071 1100000000101001 0110100111110000 0001001000001000 11000001
346
 
347
0072 DADll ALU DOUBLE,H,L,FTOB.A & OR 3. DZ & ALUC,SWAP & BASW :l, /IOC & NOC & IF R.F,INV,HOLD & NUM.HLDF
348
0072 1100000000101111 1010100111110000 0011111010001010 10011111
349
 
350
0073 DAD.D: ALU DOUBLE,D,H,FTOB.F & PLUS & AB & ALUC UPDTCY,,CNL & I DC Il./BASI,J & NOC & IF & NUM,DADI
351
0073 1100000111001000 0111110111110000 0001001001001000 11000001
352
 
353
0074 DAD.H: ALU DOUBLE,H,H,FTOB.F & PUIS & AB & ALlJC UPDTCY,,CNL :l, I DC 3. /BASW 3. NOC :l, IF & NUM, DADI
354
0074 1100000111001000 0111110111110000 0001001010001000 11000001
355
 
356
0075 DAD.SP: ALU DOUBLE,SP,H,FTOB.F & PLUS & AB & ALUC UPDTCY,,CNL & IDe 3. ,'BASW & NOC 3. IF & NUM,DADI
357
0075 1100000111001000 0111110111110000 0001001100001000 11000001
358
 
359
0076 CMPR: ALlI,,A, & BASW,SW 3. AB & SUNIM & ALUC UPDTALL & IOC & /NDC & IF R.F.INV,HDLD S. NIJM,HLDF
360
0076 1100000000101111 1010100111110000 0100010101001110 01001001
361
 
362
0077 CPI I INCPC S. MMR
363
0077 1100000000110101 0010010111010001 0011011111111110 11000100
364
 
365
0078 ALU,A S. :&S~) S. DA 3. SUNIM S. ALue UPDTALL a. IOC 3- ,NOC a. IF R.F,INV,HOLD & NUM,HLDF
366
0078 1100000000101111 1010100111110000 0000010011110100 01001101
367
 
368
0079 CMPM: ALU DOUBLE.H & DR & 2A & IOC.,TO.A 3. BASW & ALUC & HLD
369
0079 1100000000101001 0110100111110001 0011011010010100 01011100
370
 
371
007A ALU DDUBLE,PC & OR & ZA & IOC,,TO.A & BASW 3. ALUC 3. MMR
372
007A 1100000000110101 0010010111010001 0011011111110100 01011100
373
 
374
007B ALU,A I!o BASW & DA & SLlNII1 & ALIJC UPDTALL S. IOC.& /NOC & IF R.F,INV,HOLD & NUM,HLDF
375
007B 1100000000101111 1010100111110000 0000010011110100 01001101
376
 
377
007C POP.B: ALU DOUBLE,SP,SP,FTOB.A & DR & ZA :I. ALUC & BASW a. /IOC,,TO.A a. NOC & IF C.SBR, ,HOLD a. NUM.HLDSB
378
007C 1100000000101001 0110100111110001 0011011100010000 10011100
379
 
380
007D ALU DOUBLE,SP,SP,FTOB.F & PLUS :I. ZA & ALUC & BASW & / IOC,,TO.A a. MEMR & IF C.SBR.INV,READY & NUM.MMRSP
381
007D 1100000001000101 0010010111010001 0011011100010000 11000100
382
 
383
007E ALU,,C,FTOB.F & DR & DZ & ALUC & IOC & BASW & HLD
384
007E 1100000000101001 0110100111110000 0011010101000010 11011111
385
 
386
007F ALU DOUBLE,SP,SP,FTOB.F & PLUS& ZA & ALUC & BASW & /IOC,,TO.A & MEMR & IF C.SBR.INV,READY & NUM,MMRSP
387
007F 1100000001000101 0010010111010001 0011011100010000 11000100
388
 
389
0080 ALU.,B,FTOB.F & DR & DZ & ALUC & IOC & BASW & HLD
390
0080 1100000000101001 0110100111110000 0011010101000000 11011111
391
 
392
0081 ALU DOUBLE,PC & DR & ZA & ALUC & IOC.,TO.A & BASW & /NOC & IF R.F.INV.HOLD & NUM.HLDF
393
0081 1100000000101111 1010100111110001 0011011111110100 01011100
394
 
395
0082 HLT: NALU & IOC'& HLD
396
0082 1100000000101001 0110100111110000 0011010101010100 01XXXXXX
397
 
398
0083 NALU & IOC & NOC & IF ,INV,INT & NUM.HLT
399
0083 1100001000001000 0010000111110000 0011010101010100 01XXXXXX
400
 
401
0084 INTHNDL:   NALU & IOC & INTA & IF,INV & NUM
402
0084 1100000000000000 0011110011110000 0011010101010100 01XXXXXX
403
 
404
0085 NALU & lOC & INTA & IF & NUM.RSTI
405
0085 1100000101011000 0111110011110000 0011010101010100 01XXXXXX
406
 
407
0086 NOP:   NALU & IDC & IF R.F, INV, HOLD ~, NUM,HLDF   & NOC
408
0086 1100000000101111 1010100111110000 0011010101010100 01XXXXXX
409
 
410
0087 IN. :   INCPC   & MMR
411
0087 1100000000110101 0010010111010001 0011011111111110 11000100
412
 
413
0088 ALU &   DR & DZ & ALUC &   IOC •• TO.A & BASW   & HLD
414
0088 1100000000101001 0110100111110001 0011010101010100 01011111
415
 
416
0089 ALU DOUBLE. PC & DR & ZA & BASW & ALUC &   IDC., TO. A   &      /IOR & IF,INV,READY & NUM.$
417
0089 1100001000100100 0010010101110001 0011011111110100 01011100
418
 
419
008A ALU, ,A.FTDB.F ~ OR Il. DZ Il. at.uc & IDC & BASW e, NOC e, /IF R.F,INV.HOLD & NUM.HLDF
420
008A 1100000000101111 1010100111110000 0011010101001110 11011111
421
 
422
008B OUT.' ALlI,A & DR & ZA & IDC,,TO.D & BAS~J & ALLIC & HLD
423
008B 1100000000101001 0110100111110000 1011010011110100 01011100
424
 
425
008C INCPC ~ MMR
426
008C 1100000000110101 0010010111010001 0011011111111110 11000100
427
 
428
008D ALU & OR & DZ & ALUC & 10C,,TO.A & BASW & HLD
429
008D 1100000000101001 0110100111110001 0011010101010100 01011111
430
 
431
008E AlU DOUBlE,PC & OR & ZA & BASW & AlUC & IDC,DH,TO.A & /!OW & IF R.F,,READY & NUM,$
432
008E 1100001000111011 1110010110110101 0011011111110100 01011100
433
 
434
008F EI: AlU,H::C & NXOR is. 2A & lDC,,TO.INTE & BASW & ALUC & /NOC & IF R.F.INV.HOlD & NUM,HlDF
435
008F 1100000000101111 1010100111110001 1011010110010100 01111100
436
 
437
0090 DI: ALU & AND & 2A & 10C,, TO. INTE & BASW & ALUC & NOC & /IF R.F,INV,HOlD & NUM,HLDF
438
0090 1100000000101111 1010100111110001 1011010101010100 01100100
439
 
440
0091 SPHL: ALU DOUBlE,H.SP.FTOB.F & OR & ZA & AlUC & BASW & IOC & /NOC & IF R.F,INV.HOLD is. NUM,HlDF
441
0091 1100000000101111 1010100111110000 0011011010010000 11011100
442
 
443
0092 ;XTHU AlU DOUBLE,H & OR & ZA & AlUC & BASW & IOC,,TO.D & HLD
444
0092 1100000000101001 0110100111110000 1011011010010100 01011100
445
 
446
0093 ALU DOUBLE.SP & DR & ZA & AlUC & BASW & 10C,.TO.A & HLD
447
0093 1100000000101001 0110100111110001 0011011100010100 01011100
448
 
449
0094 NAlU & IOC & MEMR & IF.INV,READY & NUM,$
450
0094 1100001001010000 0010010111010000 0011010101010100 01XXXXXX
451
 
452
0095 AlU,,l.FTOB.F & OR & DZ & AlUC & IOC & BASW & HLD
453
0095 1100000000101001 0110100111110000 0011010101001010 11011111
454
 
455
0096 ALU DOUBlE,SP,SP.FTOB.F & PLUS & ZA & ALUC & BASW & /IDC,DL,TO.A & MEMW & IF C.SBR.JNV,READY is. NUM,MMbJSPL
456
0096 1100000001001101 0010010111100011 0011011100010000 11000100
457
 
458
0097 NALU & 10C & MEMR is. IF. INV, READY & NUM,$
459
0097 1100001001011100 0010010111010000 0011010101010100 01XXXXXX
460
 
461
0098 ALU,,H,FTOB.F ~ DR & DZ ~ ALUC & IOC ~ BASW & HLD
462
0098 1100000000101001 0110100111110000 0011010101001000 11011111
463
 
464
0099 ALU DOUBLE. PC & OR & ZA & ALLIC ~ BASW & IOC,DH,TO.A & /MEMW & IF,INV,READY & NUM.i
465
0099 1100001001100100 0010010111100101 0011011111110100 01011100
466
 
467
009A ALU DOUBlE,SP.SP,FTOB.F & ZA & SUNIM & ALUC.,CNL & /BASt..' is. IDC & NOC S. IF R.F. INV,HOLD & NUM.HLDF
468
009A 1100000000101111 1010100111110000 0011001100010000 11001100
469
 
470
009B PCHL: ALU DOUBLE.H.PC,FTOB.F & DR & ZA & ALUC & IOC •• TO.A & /BASW & NOC & IF R.F,INV.HOLD & NUM.HLDF
471
009B 1100000000101111 1010100111110001 0011011010011110 11011100
472
 
473
009C STC: ALU • H:~C & SUNIM & ZA & ALUC UPDTCV •• CNL ~ BASW & 10C & NOC &/IF R.F,INY,HDLD & NUM,HLDF
474
009C 1100000000101111 1010100111110000 0001000110010100 01001100
475
 
476
009D CMC: ALU & AND & ZA & ALUC UPDTCY & BA~~I ~< IDC & NOC & /IF R.F,,CY & NUM, STC
477
009D 1100001001110011 1100010111110000 0001010101010100 01100100
478
 
479
009E ALU & AND & ZA & ALUC UPDTCY,,CNL & BASW & IDC & /NOC & IF R.F,INY,HOLD & NUM,HLDF
480
009E 1100000000101111 1010100111110000 0001000101010100 01100100
481
 
482
009F ANAR: ALU,,A,FTOB.F & AND & AB & ALUC UPDTALL & BASW ,~W & /IDC & NOC & IF R.F.INY,HDLD &NUM,HLDF
483
009F 1100000000101111 1010100111110000 0100010101001110 11100001
484
 
485
00A0 XRAR: ALU •• A.FTOB.F & XOR & AB & ALUC UPDTALL & BASld.Sld & /IOC & NOC a, IF R.F. INY,HOLD & NUM,HLDF
486
00A0 1100000000101111 1010100111110000 0100010101001110 11110001
487
 
488
00A1 ORAR: ALU,,A,FTOB.F & DR & AB & ALUC UPDTALL & BA~W ,SW & /IOC & NOC & IF R.F,INY,HOLD & NUM,HLDF
489
00A1 1100000000101111 1010100111110000 0100010101001110 11011001
490
 
491
00A2 DCRM: ALU IIOUBLE.H & DR & ZA & ALUC & IOC. ,TO.A & BASW & HLD
492
00A2 1100000000101001 0110100111110001 0011011010010100 01011100
493
 
494
00A3 NALU & IOC & MEMR & IF,INY.READY & NUM,$
495
00A3 1100001010001100 0010010111010000 0011010101010100 01XXXXXX
496
 
497
00A4 ALU & DZ & MINUS & ALUC UPDTFL,,CNL & BASW & /IOC,,TO.D & HLD
498
00A4 1100000000101001 0110100111110000 1010000101010100 01010111
499
 
500
00A5 ALU DOUBLE,PC & OR & ZA & ALUC & BA~W ~. IOC,DH,TO.A & /MEMW & IF R.F,,READY & NUM,$
501
00A5 1100001010010111 1110010111100101 0011011111110100 01011100
502
 
503
00A6 INRM: ALU DDUBLE,H & DR & ZA & ALUC & IOC,,TO.A & BASW & HLD
504
00A6 1100000000101001 0110100111110001 0011011010010100 01011100
505
 
506
00A7 NALU & IOC & MEMR & IF,INV,READY & NUM,$
507
00A7 1100001010011100 0010010111010000 0011010101010100 01XXXXXX
508
 
509
00A8 ALl! & DZ & PLU~ & ai.uc UPIITFL & BASW & IOC,, TO. D & HLD
510
00A8 1100000000101001 0110100111110000 1010010101010100 01000111
511
 
512
00A9 ALU DOUBLE,PC & DR & ZA & ALue & BASW & IOC,DH,TD.A & /MEMW & IF R.F,.READY & NUM.$
513
00A9 1100001010100111 1110010111100101 0011011111110100 01011100
514
 
515
00AA DCRR: ALU.,,FTOB.F & ZB & SUNIM & ALUC UPDTFL,.CNL & /BASI,o,I SW & IDC & IF R.F,INV,HOLD & NUM.HLDF s NOC
516
00AA 1100000000101111 1010101111110000 0010000101010100 11001011
517
 
518
00AB INRR: ALU,,,FTOB.F & ZB & PLUS & ALUe UPDTFL & BA~W ~W & IOC & /NOC & IF R.F,INV,HOLD & NUM,HLDF
519
00AB 1100000000101111 1010101111110000 0010010101010100 11000011
520
 
521
00AC SUBR: ALU,,A,FTOB.F & AB & SUNIM & ALUC UPDTALL & BASW , ~W & /IOC:I. NOC :I. IF R.F,INV,HOLD :I. NUM,HLDF
522
00AC 1100000000101111 1010100111110000 0100010101001110 11001001
523
 
524
00AD SUBM: ALU DOUBLE,H & DR & 2A :I. ALUC & BASil) & IDC,,TO.A & HLD
525
00AD 1100000000101001 0110100111110001 0011011010010100 01011100
526
 
527
00AE ALU DOUBLE, PC & OR 2., ZA & BASW :I. ALLIC :I. IOC,,TO.A & MMR
528
00AE 1100000000110101 0010010111010001 0011011111110100 01011100
529
 
530
00AF ALU,A,A,FTDB.F & DA & SUNIM & ALUC UPDTALL & /BASW & IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
531
00AF 1100000000101111 1010100111110000 0000010011101110 11001101
532
 
533
00B0 SUI: INCPC & MMR
534
00B0 1100000000110101 0010010111010001 0011011111111110 11000100
535
 
536
00B1 ALU,A,A,FTOB.F & DA 2., SUNIM :I. ALUC UPDTALL & /BAS~J & IDC & NOC 2., IF R.F,INV,HOLD:I. NUM,HLDF
537
00B1 1100000000101111 1010100111110000 0000010011101110 11001101
538
 
539
00B2 SBBR: NALU & IDC :I. NOC :I. IF,INV,CY :I. NUM,SUBR
540
00B2 1100001010110000 0000010111110000 0011010101010100 01XXXXXX
541
 
542
00B3 ALU,.A,FTOB.F:I. AB e, SUNIM & BASld,SW & ALUC UPDTALL.,CN L &/IDC %< NOC :I. IF R.F,INV,HOLD & NUM,HLDF
543
00B3 1100000000101111 1010100111110000 0100000101001110 11001001
544
 
545
00B4 SBBM: ALU DOUBLE,H & OR & 2A & IOC,,TO.A & BASil) 2., ALUC & /NOC %< IF ,INV,CY & NUM,$UBM+l
546
00B4 1100001010111000 0000010111110001 0011011010010100 01011100
547
 
548
00B5 ALU DOUBLE, PC & OR %. 2A s, lOC,, TO. A :I. BASW :I. ALUC 2., MMR
549
00B5 1100000000110101 0010010111010001 0011011111110100 01011100
550
 
551
00B6 ALU,A,A,FTDB.F 2., DA :I. SUNIM & ALUC UPDTALL,,CHL :I. /BASW:I. IOC :I. NOC :I. IF R.F,INV,HOLD :I. NUM,HLDF
552
00B6 1100000000101111 1010100111110000 0000000011101110 11001101
553
 
554
00B7 SBt: NALU :I. lOC & NOC & IF,INV,CY :I. HUM,SUI
555
00B7 1100001011000000 0000010111110000 0011010101010100 01XXXXXX
556
 
557
00B8 NRLU & IOC :I. NOC & IF & NUM,SBll
558
00B8 1100010101110100 0111110111110000 0011010101010100 01XXXXXX
559
 
560
00B9 ANAM: ALU DDUBLE,H & DR & 2A :I. IOC,,TO.A & ALUC & BASW :I. HLD
561
00B9 1100000000101001 0110100111110001 0011011010010100 01011100
562
 
563
00BA ALU DOUBLE,PC :I. OR :I. ZA :I. IOC,,TO.A & ALUC & BASW & MMR
564
00BA 1100000000110101 0010010111010001 0011011111110100 01011100
565
 
566
00BB ALU,A,A,FTOB.F & AND & DR & ALUC UPDTALL & BASW & /IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
567
00BB 1100000000101111 1010100111110000 0000010011101110 11100101
568
 
569
00BC ;XRAM: ALU DOUBLE.H & OR & ZA & IOC •• TO.A & ALUC & BASW & HLD
570
00BC 1100000000101001 0110100111110001 0011011010010100 01011100
571
 
572
00BD ALU DOUBLE.PC & OR a, 2A & IOC,, TO. A & FtLUC & IoAS~J & MMR
573
00BD 1100000000110101 0010010111010001 0011011111110100 01011100
574
 
575
00BE FtLU,A,A,FTOB.F & XOR & DA & FtLUC UPDTALL & BASW & /IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
576
00BE 1100000000101111 1010100111110000 0000010011101110 11110101
577
 
578
00BF DRAM: ALU DOUBLE,H & OR & 2A & IOC,,TO.A & FtLUC & BASW & HLD
579
00BF 1100000000101001 0110100111110001 0011011010010100 01011100
580
 
581
00C0 ALU DOUBLE,PC & OR & 2A & rOC,,TO.A :l. FtLUC & BASW & MMR
582
00C0 1100000000110101 0010010111010001 0011011111110100 01011100
583
 
584
00C1 ALU, fit A, FToB. F & DR & DA & ALUC IJPDTALL   & BASW &  -,IDC & NOC &   IF R.F, INV, HOLD & NUM;HLDF
585
00C1 1100000000101111 1010100111110000 0000010011101110 11011101
586
 
587
00C2 FtNII   INCPC & MMR
588
00C2 1100000000110101 0010010111010001 0011011111111110 11000100
589
 
590
00C3 ALU,A,A,FTOB.F & AND &   DA & ALUC UPDTALL   & BASW &   IDC &   ?NOC & IF R.FoINV.HOLD & NUM,HLDF
591
00C3 1100000000101111 1010100111110000 0000010011101110 11100101
592
 
593
00C4 ;XRI:   INCPC & MMR
594
00C4 1100000000110101 0010010111010001 0011011111111110 11000100
595
 
596
00C5 ALU,A,A,FTOB.F & XCR &   DA & ALUC UPDTALL   & BASW &   IOC &  -'NOC & IF R.F,INV,HOLD & NUM,HLDF
597
00C5 1100000000101111 1010100111110000 0000010011101110 11110101
598
 
599
00C6 ORI: INCPC & MMR
600
00C6 1100000000110101 0010010111010001 0011011111111110 11000100
601
 
602
00C7 ALU,A,A,FTOB.F & OR & DA & ALUC UPDTALL & BAS~I :& IOC & ,,NOC & IF R.F,INV,HOLD & NUM,HLDF
603
00C7 1100000000101111 1010100111110000 0000010011101110 11011101
604
 
605
00C8 CMA: ALU,A,A,FTDB.F & NXCR & ZA & IDC :& ALUC & llASW & ,,NOC & IF R.F.INV.HOLD & NUM,HLDF
606
00C8 1100000000101111 1010100111110000 0011010011101110 11111100
607
 
608
00C9 LHLD: INepc & MMR
609
00C9 1100000000110101 0010010111010001 0011011111111110 11000100
610
 
611
00CA ALU'DDUBLE, ,,FTDB.F 11 OR 11 D2 11 ALUC 11 BASW 11 IDC 11 HLD
612
00CA 1100000000101001 0110100111110000 0011011101010100 11011111
613
 
614
00CB INCPC 11 MMR
615
00CB 1100000000110101 0010010111010001 0011011111111110 11000100
616
 
617
00CC ALU,,,FTDB.F 11 DR 11 DZ 11 ALUC & BASW & IDC & HLD
618
00CC 1100000000101001 0110100111110000 0011010101010100 11011111
619
 
620
00CD ALU DDUBLE, ,,FTDB.F 11 OR & 2A 11 ALUC 11 IDC,,TD.A 11 /BASW 11 HLD
621
00CD 1100000000101001 0110100111110001 0011011101010100 11011100
622
 
623
00CE tlALU & IDC & MEMR 11 IF, INV,READY 11 HUM, s
624
00CE 1100001100111000 0010010111010000 0011010101010100 01XXXXXX
625
 
626
00CF ALU,,L,FTDB.F & DR & DZ 11 IDC 11 BASW 11 ALUC & HLD
627
00CF 1100000000101001 0110100111110000 0011010101001010 11011111
628
 
629
00D0 ALU DDUBLE,,,FTOB.F 11 PLUS 11 ALUC 11 BASW 11 IDC,,TO.A & H LD/ 11 ZA
630
00D0 1100000000101001 0110100111110001 0011011101010100 11000100
631
 
632
00D1 AUI DOUBLE,PC 11 DR 11 2A 11 IDC •• ro.a 11 BASW 11 ALUC:& /MEMR 11 IF,INV,READY 11 NUM , :5
633
00D1 1100001101000100 0010010111010001 0011011111110100 01011100
634
 
635
00D2 ALU,,H,FTOB.F 11 DR & D2 11 IOC 11 BASW 11 ALUC 11 ,,'NDC 11 IF R.F, INV,HOLD & tlUM,HLDF
636
00D2 1100000000101111 1010100111110000 0011010101001000 11011111
637
 
638
00D3 SHLD: INCPC 11 MMR
639
00D3 1100000000110101 0010010111010001 0011011111111110 11000100
640
 
641
00D4 ALU DOUBLE,,,FTOB.F :& DR 11 D2 11 ALUC 11 BASW 11 IOC 11 HLD
642
00D4 1100000000101001 0110100111110000 0011011101010100 11011111
643
 
644
00D5 INCPC 11 MMR
645
00D5 1100000000110101 0010010111010001 0011011111111110 11000100
646
 
647
00D6 ALU,,,FTOB.F' DR & DZ 11 ALUC & BASW :& IOC & HLD
648
00D6 1100000000101001 0110100111110000 0011010101010100 11011111
649
 
650
00D7 ALU DOUBLE,,,FTDB.F & DR & ZA 11 ALUC & IOC,,TD.A 11/BAS(d & HLD
651
00D7 1100000000101001 0110100111110001 0011011101010100 11011100
652
 
653
00D8 ALU DOUBLE,H & DR & ZA 11 IOC,,TO.D & BASW & ALue & HLD
654
00D8 1100000000101001 0110100111110000 1011011010010100 01011100
655
 
656
00D9 NALU 11 IDC,DL & MEM~I & IF, INV,READY & NUM, s
657
00D9 1100001101100100 0010010111100010 0011010101010100 01XXXXXX
658
 
659
00DA ALU DOUBLE,,,FTOB.F I\. PLUS & 2A & ALUC & BASW I\. /IOC,,TO.A I\. HLD
660
00DA 1100000000101001 0110100111110001 0011011101010100 11000100
661
 
662
00DB ALU DOUBLE ,PC I\. OR I\. 2A I\. ALUC & IOC,DH,TO.A I\. BASW I\. /MEMW & IF R.F,,READY I\. NUM, $
663
00DB 1100001101101111 1110010111100101 0011011111110100 01011100
664
 
665
00DC LDAX.B: ALU DOUBLE,B I\. BASW I\. 2A I\. OR & IOC,,TO.A & ALUC I\. HLD
666
00DC 1100000000101001 0110100111110001 0011011000010100 01011100
667
 
668
00DD ALU DOUBLE,PC & OR & 2A I\. IOC,,TO.A & ALUC I\. BASW I\. /MEMR & IF,INV,READY & NUM, $
669
00DD 1100001101110100 0010010111010001 0011011111110100 01011100
670
 
671
00DE ALU,,A,FTOB.F & OR & D2 & ALue I\. BASW & IDC & /NOC I\. IF R.F,INV,HOLD & NUM,HLDF
672
00DE 1100000000101111 1010100111110000 0011010101001110 11011111
673
 
674
00DF STAX: ALU DOUBLE & BASh! SW I\. 2B & OR & IDC •• TO. A & si.uc I\. HLD
675
00DF 1100000000101001 0110101111110001 0011011101010100 01011011
676
 
677
00E0 ALU,A & OR & 2A & IOC.,TO.D & BASW I\. ALue & HLD
678
00E0 1100000000101001 0110100111110000 1011010011110100 01011100
679
 
680
00E1 ALU DOUBLE,PC & OR & 2A & IOC,DH.TO.A & BASW I\. ALUC & /MEMW & IF R.F,INV,HOLD & NUM, HLDF
681
00E1 1100000000101111 1010100111100101 0011011111110100 01011100
682
 
683
00E2 WXCHG:   ALU DOLlBLE,D,,FTOB.F &   OR & 2A & ALLIe &   BASh! & IDe   & HLD
684
00E2 1100000000101001 0110100111110000 0011011001010100 11011100
685
 
686
00E3 ALU DOLlBLE,H,D,FTOB.F I\.   OR I\. 2A & ALue &   BASW & IDe   I\. HLD
687
00E3 1100000000101001 0110100111110000 0011011010000100 11011100
688
 
689
00E4 ALU DOUBLE,,H,FTOB.F & OR & 2A & ALue & BASh! I\. IOC   I\.   /NOC & IF R.F,INV,HOLD & NLlM,HLDF
690
00E4 1100000000101111 1010100111110000 0011011101001000 11011100
691
 
692
00E5 U
693
00E5 1100000000110101 0010010111010001 0011011111111110 11000100
694
 
695
00E6 ALLI,.E.FTOB.F & OR & D2 & ALLIe ~ BASW & HLD & IDe
696
00E6 1100000000101001 0110100111110000 0011010101000110 11011111
697
 
698
00E7 INCPC s, MMR
699
00E7 1100000000110101 0010010111010001 0011011111111110 11000100
700
 
701
00E8 ALU,,D.FTOB.F. & OR & D2 & ALUC & BASW & NOC & /IF R.F,INV,HOLD & NUM,HLDF & IOC
702
00E8 1100000000101111 1010100111110000 0011010101000100 11011111
703
 
704
00E9 LXIH: INCPC ~ MMR
705
00E9 1100000000110101 0010010111010001 0011011111111110 11000100
706
 
707
00EA ALU,,L,FTOB.F ~ OR ~ DZ   ~ ALUC ~ BASW & HLD ~ IOC
708
00EA 1100000000101001 0110100111110000 0011010101001010 11011111
709
 
710
00EB INCPC & MMR
711
00EB 1100000000110101 0010010111010001 0011011111111110 11000100
712
 
713
00EC ALU,,H,FTOB.F,   & OR 3. DZ 3. ALUC 3. BASW 3.   NOC &     /IF R.FdNY,HOLD 3. NUM.HLDF & IOC
714
00EC 1100000000101111 1010100111110000 0011010101001000 11011111
715
 
716
00ED LXISP:   INCPC & MMR
717
00ED 1100000000110101 0010010111010001 0011011111111110 11000100
718
 
719
00EE ALU DOUBLE,,SP,FTDB.F &   DR & D2 & ALUC &   BASW 3. HLD   & ID   C
720
00EE 1100000000101001 0110100111110000 0011011101010000 11011111
721
 
722
00EF INCPC & MMR
723
00EF 1100000001110101 0010010111010001 0011011111111110 11000100
724
 
725
00F0 ALU,,$P.FTOB.F. & OR & DZ & ALUC & BASW & NOC & /IF R. F. INY, HOLD & NUM, HLDF & IDC
726
00F0 1100000000101111 1010100111110000 0011010101010000 11011111
727
 
728
00F1 INXDI ALU DOUBLE,,D.FTDB.F & PLUS & ZB & ALUC & BASW & IDC & HLD
729
00F1 1100000000101001 0110100111110000 0011011101000100 11000011
730
 
731
00F2 ALU DDUBLE,D,E,FTDB.A & OR :$, DZ & ALUC,SWAP & BASW & /IDC 
732
00F2 1100000000101111 1010100111110000 0011111001000110 10011111
733
 
734
00F3 INXH: ALU DDUBLE,,H,FTOB.F & PLUS & ZB & ALUC & BASW & IOC & HLD
735
00F3 1100000000101001 0110100111110000 0011011101001000 11000011
736
 
737
00F4 ALU DDUBLE,H,L,FTOB.A & OR & DZ & ALUC,SWAP & BASW & /IDC & NDC & IF R.F.INY,HDLD & NUM,HLDF
738
00F4 1100000000101111 1010100111110000 0011111010001010 10011111
739
 
740
00F5 INXSPI ALU DOUBLE,,SP,FTDB.F , PLUS & ZB & ALUC & BASW , IDC & /NDC' IF R.F,INY,HDLD & NUM,HLDF
741
00F5 1100000000101111 1010100111110000 0011011101010000 11000011
742
 
743
00F6 DCXD: ALU DDUBLE,,D,FTDB.F & SUNIM , ZB & ALUC,,CNL & /BASW & IDC & HLD
744
00F6 1100000000101001 0110100111110000 0011001101000100 11001011
745
 
746
00F7 ALU DOU~lE,D,E,FTDB.A & OR & DZ , ALUC,$WAP & IDC & /NOC & IF R.F,INV,HOLD & NUM,HLDF
747
00F7 1100000000101111 101010Xl11110000 OXII111001000110 10011111
748
 
749
00F8 DCXH: ALU DOUBLE,,H,FTOB.F & SUNIM & ZB & ALUC,,CNL & /BASW & IOC & HLD
750
00F8 1100000000101001 0110100111110000 0011001101001000 11001011
751
 
752
00F9 ALU DDUBLE,H,L,FTOB.A & DR & DZ & ALUC,SWAP a, IDC &-'NOC 3. IF R.F,INV,HOLD & NUM,HLDF
753
00F9 1100000000101111 101010X111110000 0X11111010001010 10011111
754
 
755
00FA DCXSP: ALU DOUBLE,,SP,FTOB.F & SUNIM 3. ZB 3. ALUC,,CNL & /BASW & IOC & NDC & IF R.F,INY,HOLD , NUM,HLDF
756
00FA 1100000000101111 1010100111110000 0011001101010000 11001011
757
 
758
00FB POP.D: ALLI DOUBLE,SP,SP,FTOB.A & DR & ZA & ALUC & BASI~ & dOC,,TO.A' NOC 3. IF.,C.SBR,,HOLD & NUM,HLDSB
759
00FB 1100000000101001 0110100111110001 0011011100010000 10011100
760
 
761
00FC ALU DOUBLE,SP,SP,FTOB.F & PLUS' ZA & ALUC 3. BASW 3. / IOC,,TO.A 3. MEMR & IF C.SBR,INV,READY 3. NUM,MI'1RSP
762
00FC 1100000001000101 0010010111010001 0011011100010000 11000100
763
 
764
00FD ALU, ,E,FTDB.F 3. DR 3. DZ 3. ALUC 3. roc & BASI~ , HLD
765
00FD 1100000000101001 0110100111110000 0011010101000110 11011111
766
 
767
00FE ALU DDUBLE,SP,SP,FTDB.F , PLlIS' ZA , ALLIC & BASW , dOC,,TO.A & MEMR 3. IF C.SBRolNY,READY & NUM,MMRSP
768
00FE 1100000001000101 0010010111010001 0011011100010000 11000100
769
 
770
00FF ALU •• D,FTDB.F & DR & DZ & ALLIC 3. IOC 3. BASW , HLD
771
00FF 1100000000101001 0110100111110000 0011010101000100 11011111
772
 
773
0100 ALU DOUBLE,PC a, DR & ZA & ALLIC & IOC,,TD.A 3. BAS'I~ , /NOC & IF R.F,INY,HOLD & NUM.HLDF
774
0100 1100000000101111 1010100111110001 0011011111110100 01011100
775
 
776
0101 PDP.H: ALLI DOUBLE,SP,SP,FTDB.A & DR & ZA & AlUC & BASW & -'IOC,,TD.A & NOC & IF C.S'BR.,HOLD & NUM,HlDSB
777
0101 1100000000101001 0110100111110001 0011011100010000 10011100
778
 
779
0102 Alll DlJlIBLE,SP,SP,FTIJII.F & PL1JS & ZA s, ALLIC , BASI~ ~, ./ IOC,,TIJ.A & MEMR , IF C.SBR,INV,READY , NUM,MMRSP
780
0102 1100000001000101 0010010111010001 0011011100010000 11000100
781
 
782
0103 ALU,,L,FTOB.F & DR , DZ & ALLIC & IOC & I1ASW & HLD
783
0103 1100000000101001 0110100111110000 0011010101001010 11011111
784
 
785
0104 ALU DDlIBLE,SP,;SP,FTDB.F & PLUS' ZA & ALlIC' BASI,), /IOC •• TD.A & MEMR & IF C.SBRdNY'READY , NUM,p1P1RSP
786
0104 1100000001000101 0010010111010001 0011011100010000 11000100
787
 
788
0105 ALU,,H,FTOB.F & DR & DZ 3. ALLIe & IOC & BASW & HLD
789
0105 1100000000101001 0110100111110000 0011010101001000 11011111
790
 
791
0106 ALU DOUBLE, PC & DR & ZA & ALUC , IOC,,TO.A 3. BASW , /NDC & IF R.F,INY,HOLD & NUM.HlDF
792
0106 1100000000101111 1010100111110001 0011011111110100 01011100
793
 
794
0107 JNZ: NALLI & IDC 3. NOC 3. IF .INV,Z , NlIM,JMP
795
0107 1100000100001000 0000000111110000 0011010101010100 01XXXXXX
796
 
797
0108 INCPC & HLD
798
0108 1100000000101001 0110100111110001 0011011111111110 11000100
799
 
800
0109 INCPC & IF R.F,INV,HOlD & NUM,HlDF & Noe
801
0109 1100000000101111 1010100111110001 0011011111111110 11000100
802
 
803
010A CNZ: NAlU s, IDC s, NOC & IF dNV,Z & NUM,CAll
804
010A 1100000100011100 0000000111110000 0011010101010100 01XXXXXX
805
 
806
010B INCPC & HlD
807
010B 1100000000101001 0110100111110001 0011011111111110 11000100
808
 
809
010C INcpe & NOC & IF R.F,INV,HOlD & NUM,HlDF
810
010C 1100000000101111 1010100111110001 0011011111111110 11000100
811
 
812
010D RN2:   NAlU &   IOC & NOC & IF R.F,,Z & NUM,RET
813
010D 1100000101000011 1100000111110000 0011010101010100 01XXXXXX
814
 
815
010E ·)Z:   NAlU &   IDC & NOC & IF,   ,2 & NUM,.JMP
816
010E 1100000100001000 0100000111110000 0011010101010100 01XXXXXX
817
 
818
010F INCPC & HlD
819
010F 1100000000101001 0110100111110001 0011011111111110 11000100
820
 
821
0110 INCPC & NOC & IF R.FoINV,HOlD & NUM,HLDF
822
 
823
0110 1100000000101111 1010100111110001 0011011111111110 11000100
824
 
825
0111 CZ: NAlU & IOC & NOC & IF , ,2 & NUM,CAlL
826
0111 1100000100011100 0100000111110000 0011010101010100 01XXXXXX
827
 
828
0112 INCPC & HLD
829
0112 1100000000101001 0110100111110001 0011011111111110 11000100
830
 
831
0113 INCPC & NOC & IF R.F,INV,HOLD & NUM,HLDF
832
0113 1100000000101111 1010100111110001 0011011111111110 11000100
833
 
834
0114 RZ: NAlU & IOC & HOC & IF R.F,INV,Z & NUM,RET
835
0114 1100000101000011 1000000111110000 0011010101010100 01XXXXXX
836
 
837
0115 .JNC: NALU & IOC & NOC & IF ,INV,CY & NUM,.JMP
838
0115 1100000100001000 0000010111110000 0011010101010100 01XXXXXX
839
 
840
0116 INCPC &HlD
841
0116 1100000000101001 0110100111110001 0011011111111110 11000100
842
 
843
0117 INCPC & NOC & IF R.F,INV,HOLD & NUM,HlDF
844
0117 1100000000101111 1010100111110001 0011011111111110 11000100
845
 
846
0118 CNC: NAlU & IOC & Noe & IF ,INV,CY'& NUM,CALL
847
0118 1100000100011100 0000010111110000 0011010101010100 01XXXXXX
848
 
849
0119 INCPC & HLD
850
0119 1100000000101001 0110100111110001 0011011111111110 11000100
851
 
852
011A INCPC & NOC & IF R.F ,INV,HOLD & NUM,HLDF
853
011A 1100000000101111 1010100111110001 0011011111111110 11000100
854
 
855
011B RNC: NALU & IDC & NOC & IF R.F,,CY & NOM,RET
856
011B 1100000101000011 1100010111110000 0011010101010100 01XXXXXX
857
 
858
011C .JC: NALU & IOC & NOC & IF ,,CY & NUM • .JMP
859
011C 1100000100001000 0100010111110000 0011010101010100 01XXXXXX
860
 
861
011D INCPC & HLD
862
011D 1100000000101001 0110100111110001 0011011111111110 11000100
863
 
864
011E INCPC s, NDC e, IF R.FoINy.HDLD & NUM,HLDF
865
011E 1100000000101111 1010100111110001 0011011111111110 11000100
866
 
867
011F CC: NALU & IOC a NDC & IF ,,CY & NUM, CALL
868
011F 1100000100011100 0100010111110000 0011010101010100 01XXXXXX
869
 
870
0120 INCPC & HLD
871
0120 1100000000101001 0110100111110001 0011011111111110 11000100
872
 
873
0121 INCPC & NOC & IF R.F,INY,HOLD & NUM,HLDF
874
0121 1100000000101111 1010100111110001 0011011111111110 11000100
875
 
876
0122 RC:   NALU &   IDC & NOC & IF R.F,INY,CY & NUM,RET
877
0122 1100000101000011 1000010111110000 0011010101010100 01XXXXXX
878
 
879
0123 JPO:   NALU &   IDC & NOC & IF',INY,P & NUM,JMP
880
0123 1100000100001000 0000100111110000 0011010101010100 01XXXXXX
881
 
882
0124 INCPC   & HLD
883
0124 1100000000101001 0110100111110001 0011011111111110 11000100
884
 
885
0125 INCPC   & NOC & IF R.F,INY,HDLD & NUM,HLDF
886
0125 1100000000101111 1010100111110001 0011011111111110 11000100
887
 
888
0126 CPO:   tlALU &   IOC & NOC & IF ,INY,P & NUM,CALL
889
0126 1100000100011100 0000100111110000 0011010101010100 01XXXXXX
890
 
891
0127 INCPC & HLD
892
0127 1100000000101001 0110100111110001 0011011111111110 11000100
893
 
894
0128 INCPC   & NOC & IF R.F,INV,HOLD& NUM,HLDF
895
0128 1100000000101111 1010100111110001 0011011111111110 11000100
896
 
897
0129 RPO:   NALU &   IOC & NOC & IF R.F,,P & NUM,RET
898
0129 1100000101000011 1100100111110000 0011010101010100 01XXXXXX
899
 
900
012A JPE:   NALU &   IOC & NOC & IF ,,p 3. NUM,~MP
901
012A 1100000100001000 0100100111110000 0011010101010100 01XXXXXX
902
 
903
0l2B INCPC   3. HLD
904
012B 1100000000101001 0110100111110001 0011011111111110 11000100
905
 
906
012C INCPC   I), NOC &IF R.FdNY,HOLD :I, NUM,HLDF
907
012C 1100000000101111 1010100111110001 0011011111111110 11000100
908
 
909
012D CPE:   NALU :I,   IOC & NOC & IF ,,p & NUM,CALL
910
012D 1100000100011100 0100100111110000 0011010101010100 01XXXXXX
911
 
912
012E INCPC   $. HLD
913
012E 1100000000101001 0110100111110001 0011011111111110 11000100
914
 
915
012F INCPC   & NOC & IF R.F,INY,HOLD & NUM,HLDF
916
012F 1100000000101111 1010100111110001 0011011111111110 11000100
917
 
918
0130 RPE:   NALU &   IOC & NOC &   IF R.F.INY,P   & NUM,RET
919
0130 1100000101000011 1000100111110000 0011010101010100 01XXXXXX
920
 
921
0131 JP:   NALU S.   IOC S. NOC S. IF .INY.$ & NUM.JMP
922
0131 1100000100001000 0000110111110000 0011010101010100 01XXXXXX
923
 
924
0132 INCPC   & HLD
925
0132 1100000000101001 0110100111110001 0011011111111110 11000100
926
 
927
0133 INCPC   & NOC s. IF R.F,INV,HOLD S. NUM,HLDF
928
0133 1100000000101111 1010100111110001 0011011111111110 11000100
929
 
930
0134 CP:   NALU S.   IOC S. NOC ~ IF R.F,,$ & NUM,CALL
931
0134 1100000100011111 1100110111110000 0011010101010100 01XXXXXX
932
 
933
0135 INCPC   & HLD
934
0135 1100000000101001 0110100111110001 0011011111111110 11000100
935
 
936
0136 INCPC   S. NOC S. IF R.F,INV.HOLD S. NUM.HLDF
937
0136 1100000000101111 1010100111110001 0011011111111110 11000100
938
 
939
0137 RP:   NALU S.   IOC & NOC S. IF .INY,S & NUM,RET
940
0137 1100000101000000 0000110111110000 0011010101010100 01XXXXXX
941
 
942
0138 JM:   NALU a,   IOC & NOC & IF ,,S S. NUM,JMP
943
0138 1100000100001000 0100110111110000 0011010101010100 01XXXXXX
944
 
945
0139 ItKPC   S. HLD
946
0139 1100000000101001 0110100111110001 0011011111111110 11000100
947
 
948
013A INCPC & NOC & IF R.F,INY,HOLD S. NUM,HLDF
949
013A 1100000000101111 1010100111110001 0011011111111110 11000100
950
 
951
013E CM: NALU & IOC & NOC :I. IF ,,s & NUM,CALL
952
013B 1100000100011100 0100110111110000 0011010101010100 01XXXXXX
953
 
954
013C INCPC & HLD
955
013C 1100000000101001 0110100111110001 0011011111111110 11000100
956
 
957
013D INepc 'NOC:I. IF R.F,INV,HOLD :I. NUM,HLDF
958
013D 1100000000101111 1010100111110001 0011011111111110 11000100
959
 
960
013E RM: NALU' IOC & NOC & IF R.F,INV,S :I. NUM,RET
961
013E 1100000101000011 1000110111110000 0011010101010100 01XXXXXX
962
 
963
013F DAA: tiRLU & IOC :I. HLII
964
013F 1100000000101001 0110100111110000 0011010101010100 01XXXXXX
965
 
966
0140 NALU & IDC & IF.INV & NUM DBUS,006 & NOC
967
0140 1000000000011000 0011110111110000 0011010101010100 01XXXXXX
968
 
969
0141 ALU,,,FTOB.F & OR :I. DZ & ALUC :I. BASW & IOC & /NOC & IF,.Ae & NUM,DAAI
970
0141 1100010100011000 0101000111110000 0011010101010100 11011111
971
 
972
0142 NALU , IDC ll. IF,INV :l. NUM D.BUS,OOF S. NOC
973
0142 1000000000111100 0011110111110000 0011010101010100 01XXXXXX
974
 
975
0143 ALU, A •• FTOQ & AND S. DA & ALUC :I. BASW :I. IDC , /NOC S. IF,INV & NUM DBUS,OOA
976
0143 1000000000101000 0011110111110000 0011010011110100 00100101
977
 
978
0144 ALU a. DQ & SUIHM a. fiLUC a. BASW & IDC & HLD
979
0144 1100000000101001 0110100111110000 0011010101010100 01001110
980
 
981
0145 tiALU II< IDC & NOC & IFdNY,CN.4 & NUM.$+2
982
0145 1100010100011100 0011100111110000 0011010101010100 01XXXXXX
983
 
984
0146 DAAl: ALU •• A,FTDB.F & PLUS & AB a ALUC UPDTALL,,CNL & /IOC & BASW & NOC & IF C.SBR •• CY & NUM.DAA4
985
0146 1100010101111101 0100010111110000 0000000101001110 11000001
986
 
987
0147 NALU & IOC & IF,INY & NUM DBUS, 060 2. NOC
988
0147 1000000110000000 0011110111110000 0011010101010100 01XXXXXX
989
 
990
014&ALU •• ,FTOB.F & DR & DZ & ALUC & BASW & IOC & /NOC' IF,,CY , NUM,DAA3
991
014&1100010100111100 0100010111110000 0011010101010100 11011111
992
 
993
0149 NALU 2. IDC i!, IF,INV & NUN DEUS,OFO & NOC
994
0149 1000001111000000 0011110111110000 0011010101010100 DIXXXXXX
995
 
996
014A ALU,A,,FTOQ & AND & DA & ALUC & BASW 2. IOC & /NOC & IF,INY & NUM DBIJS,OAO
997
014A 1000001010000000 0011110111110000 0011010011110100 00100101
998
 
999
014B ALU & DQ & SUNIM & ALUC & BASW & IOC il. HLD
1000
014B 1100000000101001 0110100111110000 0011010101010100 01001110
1001
 
1002
014C ~jALU & IOC & NOC il. IF.INY,CN.4 & NlIM,$+2
1003
014C 1100010100111000 0011100111110000 0011010101010100 01XXXXXX
1004
 
1005
014D DAA2: ALU,,A,FTOB.F il. PLUS & AB & ALUC UPDTALL ,,CNL il. /BASI.oJ & IDC & HLD
1006
014D 1100000000101001 0110100111110000 000000010100111a 11000001
1007
 
1008
014E NALU & IOC & NOC & IF R.F,ltlV,HOLD & NUM,HLDF
1009
014E 1100000000101111 1010100111110000 0011010101010100 01XXXXXX
1010
 
1011
014F DAA3: ALU.,A,FTOB.F ~ PLUS ~ AB & ALUC UPDTFL,,CNL & /BASW & IOC & NOC & IF R.F,INV,HOLD & NUM,HLDF
1012
014F 1100000000101111 1010100111110000 0010000101001110 11000001
1013
 
1014
0150 POP.PSWI ALU DOUBLE,SP,SP,FTOB.A :l. DR s, Zti & ALUC & BASW e, IOC,,T O.A &/NOC & IF C.SBR,,HOLD & NUM,HLDSB
1015
0150 1100000000101001 0110100111110001 0011011100010000 10011100
1016
 
1017
0151 ALU DOUBLE.SP,SP,FTDB.F =I< PLUS & ZA & ALLIe & BASW & MEMR & /IDC,,TO.A & IF C.SBRdNY,READY e, NUM,MMRSP
1018
0151 1100000001000101 0010010111010001 0011011100010000 11000100
1019
 
1020
0152 ALlJ & NAND & ALUC & I DC & BASI,) & HLD
1021
0152 1100000000101001 0110100111110000 0011010101010100 01101XXX
1022
 
1023
0153 ALU DOUBLE,$P,SP,FTOB.F ~ PLUS & ZA & ALUC & BASW & dOC,,TO.A ~ MEMR ~ IF-C.SBR.JNV,READY & NUM.MMRSP
1024
0153 1100000001000101 0010010111010001 0011011100010000 11000100
1025
 
1026
0154 ALU,,A,FTDB.F ~ DR & D2 & ALUC & BASW & IOC & HLD
1027
0154 1100000000101001 0110100111110000 0011010101001110 11011111
1028
 
1029
0155 ALU DOUBLE,PC & DR & ZA & ALUC ~ IOC,,TO.A ~ BASW ~ NOC & /IF R.F,INV,HOLD & NUM,HLDF
1030
0155 1100000000101111 1010100111110001 0011011111110100 01011100
1031
 
1032
0156 LDAX.D: ALU DOUBLE,D & BASW ~ ZA & DR & IOC,,TO.A & ALUC & HLD
1033
0156 1100000000101001 0110100111110001 0011011001010100 01011100
1034
 
1035
0157 ALU DOUBLE,PC & DR & ZA ~ IOC,,TO.A ~ ALUC & BASW & /MEMR ~ IF,INY,READY & NUM, $
1036
0157 1100010101011100 0010010111010001 0011011111110100 01011100
1037
 
1038
0158 ALU,,A,FTOB.F & DR & DZ & ALUC ~ BASW & IOC & /NOC & IF R.F,INY,HOLD & NUM,HLDF
1039
0159 1100000000101111 1010100111110000 0011010101001110 11011111
1040
 
1041
0159 XCHG: ~ HLD
1042
0159 1100000000101001 0110100111110000 0011111001001110 10011111
1043
 
1044
015A ALU DOUBLE,H,D,FTOB.A & DR & ZA & ALUC & BASW & IOC & HLD
1045
015A 1100000000101001 0110100111110000 0011011010000100 10011100
1046
 
1047
015B ALU DOUBLE,L,H,FTOB.A & DR & DZ & ALUC,SWAP & BASW & IOC & HLD
1048
015B 1100000000101001 0110100111110000 0011111010101000 10011111
1049
 
1050
015C ALU DOUBLE,D,E,FTOB.A & ALUC,SWAP & BASW & IOC , /NOC & IF R.F,INV,HOLD & NUM,HLDF & DR & DZ
1051
015C 1100000000101111 1010100111110000 0011111001000110 10011111
1052
 
1053
015D SBI1: INepc & MMR
1054
015D 1100000000110101 0010010111010001 0011011111111110 11000100
1055
 
1056
015E /BASW & NOC & IF R.F,INV,HOLD & NUM,HLDF
1057
015E 1100000000101111 1010100111110000 0000000011101110 11001111
1058
 
1059
015F DAA4: ALU,Hec & SUNIM & ZA , ALUC UPDTCY,,CNL & BASW & /IDC & NOC & IF R.RTN , NUM
1060
015F 1100000000000001 1111110111110000 0001000110010100 01001100
1061
 
1062
0000 ORG H#1FF
1063
 
1064
01FF INTRPT: NALU & IDC & NOC & IF & NUM,INTHNDL
1065
01FF 1100001000010000 0111110111110000 0011010101010100 01XXXXXX
1066
 
1067
0200 END
1068
 
1069
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.