OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [debouncer8channel.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 zpekic
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date: 09/12/2017 10:40:36 PM
6
-- Design Name: 
7
-- Module Name: debouncer8channel - Behavioral
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool Versions: 
11
-- Description: 
12
-- 
13
-- Dependencies: 
14
-- 
15
-- Revision:
16
-- Revision 0.01 - File Created
17
-- Additional Comments:
18
-- 
19
----------------------------------------------------------------------------------
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
 
25
-- Uncomment the following library declaration if using
26
-- arithmetic functions with Signed or Unsigned values
27
--use IEEE.NUMERIC_STD.ALL;
28
 
29
-- Uncomment the following library declaration if instantiating
30
-- any Xilinx leaf cells in this code.
31
--library UNISIM;
32
--use UNISIM.VComponents.all;
33
 
34
entity debouncer8channel is
35
    Port ( clock : in STD_LOGIC;
36
           reset : in STD_LOGIC;
37
           signal_raw : in STD_LOGIC_VECTOR (7 downto 0);
38
           signal_debounced : out STD_LOGIC_VECTOR (7 downto 0));
39
end debouncer8channel;
40
 
41
architecture Behavioral of debouncer8channel is
42
 
43
component debouncer is
44
    Port ( clock : in  STD_LOGIC;
45
           reset : in  STD_LOGIC;
46
           signal_in : in  STD_LOGIC;
47
           signal_out : out  STD_LOGIC);
48
end component;
49
 
50
begin
51
        d0: debouncer port map (
52
                reset => reset,
53
                clock => clock,
54
                signal_in => signal_raw(0),
55
                signal_out => signal_debounced(0)
56
        );
57
        d1: debouncer port map (
58
        reset => reset,
59
        clock => clock,
60
        signal_in => signal_raw(1),
61
        signal_out => signal_debounced(1)
62
    );
63
        d2: debouncer port map (
64
        reset => reset,
65
        clock => clock,
66
        signal_in => signal_raw(2),
67
        signal_out => signal_debounced(2)
68
    );
69
        d3: debouncer port map (
70
        reset => reset,
71
        clock => clock,
72
        signal_in => signal_raw(3),
73
        signal_out => signal_debounced(3)
74
    );
75
        d4: debouncer port map (
76
        reset => reset,
77
        clock => clock,
78
        signal_in => signal_raw(4),
79
        signal_out => signal_debounced(4)
80
    );
81
        d5: debouncer port map (
82
        reset => reset,
83
        clock => clock,
84
        signal_in => signal_raw(5),
85
        signal_out => signal_debounced(5)
86
    );
87
        d6: debouncer port map (
88
        reset => reset,
89
        clock => clock,
90
        signal_in => signal_raw(6),
91
        signal_out => signal_debounced(6)
92
    );
93
        d7: debouncer port map (
94
        reset => reset,
95
        clock => clock,
96
        signal_in => signal_raw(7),
97
        signal_out => signal_debounced(7)
98
    );
99
 
100
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.