OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [ipcore_dir/] [tmp/] [_xmsgs/] [xst.xmsgs] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 zpekic
2
7
8
Message file "usenglish/ip.msg" wasn't found.
9
10
 
11
0: (0,0)   : 72x256        u:8
12
13
 
14
0: (0,0)   : 72x256        u:8
15
16
 
17
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_input_block.vhd" Line 691: Comparison between arrays of unequal length always returns FALSE.
18
19
 
20
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_input_block.vhd" Line 707: Comparison between arrays of unequal length always returns FALSE.
21
22
 
23
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 978: Range is empty (null range)
24
25
 
26
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 978: Assignment ignored
27
28
 
29
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 979: Range is empty (null range)
30
31
 
32
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 979: Assignment ignored
33
34
 
35
$Id: get_init_bmg_v7_3.c,v 1.3 2011/07/25 06:20:41 Exp $
36
37
 
38
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_s3a_init.vhd" Line 743: Range is empty (null range)
39
40
 
41
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_s3a_init.vhd" Line 743: Assignment ignored
42
43
 
44
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_s3a_init.vhd" Line 428: Net <doutb_i[71]> does not have a driver.
45
46
 
47
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 430: Net <dina_pad[71]> does not have a driver.
48
49
 
50
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 434: Net <dinb_pad[71]> does not have a driver.
51
52
 
53
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" Line 1546: Comparison between arrays of unequal length always returns FALSE.
54
55
 
56
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" Line 1559: Comparison between arrays of unequal length always returns FALSE.
57
58
 
59
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <doutb> of the instance <U0> is unconnected or connected to loadless signal.
60
61
 
62
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal.
63
64
 
65
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_bid> of the instance <U0> is unconnected or connected to loadless signal.
66
67
 
68
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_bresp> of the instance <U0> is unconnected or connected to loadless signal.
69
70
 
71
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rid> of the instance <U0> is unconnected or connected to loadless signal.
72
73
 
74
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rdata> of the instance <U0> is unconnected or connected to loadless signal.
75
76
 
77
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rresp> of the instance <U0> is unconnected or connected to loadless signal.
78
79
 
80
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal.
81
82
 
83
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <sbiterr> of the instance <U0> is unconnected or connected to loadless signal.
84
85
 
86
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <dbiterr> of the instance <U0> is unconnected or connected to loadless signal.
87
88
 
89
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_awready> of the instance <U0> is unconnected or connected to loadless signal.
90
91
 
92
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_wready> of the instance <U0> is unconnected or connected to loadless signal.
93
94
 
95
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_bvalid> of the instance <U0> is unconnected or connected to loadless signal.
96
97
 
98
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_arready> of the instance <U0> is unconnected or connected to loadless signal.
99
100
 
101
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rlast> of the instance <U0> is unconnected or connected to loadless signal.
102
103
 
104
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rvalid> of the instance <U0> is unconnected or connected to loadless signal.
105
106
 
107
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_sbiterr> of the instance <U0> is unconnected or connected to loadless signal.
108
109
 
110
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_dbiterr> of the instance <U0> is unconnected or connected to loadless signal.
111
112
 
113
Input <S_AXI_AWID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
114
115
 
116
Input <S_AXI_AWADDR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
117
118
 
119
Input <S_AXI_AWLEN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
120
121
 
122
Input <S_AXI_AWSIZE> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
123
124
 
125
Input <S_AXI_AWBURST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
126
127
 
128
Input <S_AXI_WDATA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
129
130
 
131
Input <S_AXI_WSTRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
132
133
 
134
Input <S_AXI_ARID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
135
136
 
137
Input <S_AXI_ARADDR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
138
139
 
140
Input <S_AXI_ARLEN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
141
142
 
143
Input <S_AXI_ARSIZE> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
144
145
 
146
Input <S_AXI_ARBURST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
147
148
 
149
Input <S_AClk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
150
151
 
152
Input <S_ARESETN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
153
154
 
155
Input <S_AXI_AWVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
156
157
 
158
Input <S_AXI_WLAST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
159
160
 
161
Input <S_AXI_WVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
162
163
 
164
Input <S_AXI_BREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
165
166
 
167
Input <S_AXI_ARVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
168
169
 
170
Input <S_AXI_RREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
171
172
 
173
Input <S_AXI_INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
174
175
 
176
Input <S_AXI_INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
177
178
 
179
Signal 'S_AXI_BID', unconnected in block 'blk_mem_gen_v7_3_xst', is tied to its initial value (0000).
180
181
 
182
Signal <S_AXI_BRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND.
183
184
 
185
Signal 'S_AXI_RID', unconnected in block 'blk_mem_gen_v7_3_xst', is tied to its initial value (0000).
186
187
 
188
Signal <S_AXI_RDATA> is used but never assigned. This sourceless signal will be automatically connected to value GND.
189
190
 
191
Signal <S_AXI_RRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND.
192
193
 
194
Signal <S_AXI_RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND.
195
196
 
197
Signal <S_AXI_AWREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND.
198
199
 
200
Signal <S_AXI_WREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND.
201
202
 
203
Signal <S_AXI_BVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND.
204
205
 
206
Signal <S_AXI_ARREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND.
207
208
 
209
Signal <S_AXI_RLAST> is used but never assigned. This sourceless signal will be automatically connected to value GND.
210
211
 
212
Signal <S_AXI_RVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND.
213
214
 
215
Signal <S_AXI_SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
216
217
 
218
Signal <S_AXI_DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
219
220
 
221
Input <WEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
222
223
 
224
Input <ADDRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
225
226
 
227
Input <DINB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
228
229
 
230
Input <RSTA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
231
232
 
233
Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
234
235
 
236
Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
237
238
 
239
Input <RSTB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
240
241
 
242
Input <ENB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
243
244
 
245
Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
246
247
 
248
Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
249
250
 
251
Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
252
253
 
254
Signal <INJECTDBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND.
255
256
 
257
Signal <INJECTSBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND.
258
259
 
260
Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
261
262
 
263
Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
264
265
 
266
Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
267
268
 
269
Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
270
271
 
272
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" line 1342: Output port <SBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal.
273
274
 
275
"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" line 1342: Output port <DBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal.
276
277
 
278
Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND.
279
280
 
281
Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
282
283
 
284
Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
285
286
 
287
Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
288
289
 
290
Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
291
292
 
293
Signal 'dina_pad<71:64>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
294
295
 
296
Signal 'dina_pad<62:55>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
297
298
 
299
Signal 'dina_pad<53:46>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
300
301
 
302
Signal 'dina_pad<44:37>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
303
304
 
305
Signal 'dina_pad<35:28>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
306
307
 
308
Signal 'dina_pad<26:19>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
309
310
 
311
Signal 'dina_pad<17:10>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
312
313
 
314
Signal 'dina_pad<8:1>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
315
316
 
317
Signal 'dinb_pad<71:64>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
318
319
 
320
Signal 'dinb_pad<62:55>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
321
322
 
323
Signal 'dinb_pad<53:46>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
324
325
 
326
Signal 'dinb_pad<44:37>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
327
328
 
329
Signal 'dinb_pad<35:28>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
330
331
 
332
Signal 'dinb_pad<26:19>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
333
334
 
335
Signal 'dinb_pad<17:10>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
336
337
 
338
Signal 'dinb_pad<8:1>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000).
339
340
 
341
Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
342
343
 
344
Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
345
346
 
347
Input <WEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
348
349
 
350
Input <ADDRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
351
352
 
353
Input <DINB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
354
355
 
356
Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
357
358
 
359
Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
360
361
 
362
Input <ENB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
363
364
 
365
Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
366
367
 
368
Signal 'doutb_i', unconnected in block 'blk_mem_gen_prim_wrapper_s3a_init', is tied to its initial value (000000000000000000000000000000000000000000000000000000000000000000000000).
369
370
 
371
Input <DOUTB_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
372
373
 
374
Input <RDADDRECC_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
375
376
 
377
Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
378
379
 
380
Input <SBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
381
382
 
383
Input <DBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
384
385
 
386
Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND.
387
388
 
389
Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
390
391
 
392
Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND.
393
394
 
395
HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
396
397
 
398
You have chosen to run a version of XST which is not the default solution
399
for the specified device family. You are free to use it in order to take
400
advantage of its enhanced HDL parsing/elaboration capabilities. However,
401
please be aware that you may be impacted by  language support differences.
402
This version may also result in circuit performance and device utilization
403
differences for your particular design. You can always revert back to the
404
default XST solution by setting the "use_new_parser" option to value "no"
405
on the XST command line or in the XST process properties panel.
406
407
 
408
409
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.