OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [out_mux.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 zpekic
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    14:46:36 04/26/2010 
6
-- Design Name: 
7
-- Module Name:    out_mux - out_mux 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
use IEEE.NUMERIC_STD.ALL;
25
use work.mnemonics.all;
26
---- Uncomment the following library declaration if instantiating
27
---- any Xilinx primitives in this code.
28
--library UNISIM;
29
--use UNISIM.VComponents.all;
30
 
31
entity out_mux is
32
    Port ( ad : in  STD_LOGIC_VECTOR (3 downto 0);
33
           f : in  STD_LOGIC_VECTOR (3 downto 0);
34
           dest_ctl : in  STD_LOGIC_VECTOR (2 downto 0);
35
           oe : in  STD_LOGIC;
36
           y : inout  STD_LOGIC_VECTOR (3 downto 0));
37
end out_mux;
38
 
39
architecture out_mux of out_mux is
40
 signal y_int: STD_LOGIC_VECTOR(3 downto 0); ---output before tri-state
41
                                     ---- buffer
42
begin
43
  y_int <= ad when dest_ctl = rama else f;
44
  y     <= y_int when oe='0' else "ZZZZ";
45
 
46
end out_mux;
47
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.