OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

[/] [am9080_cpu_based_on_microcoded_am29xx_bit-slices/] [trunk/] [prog/] [zout/] [test2.bds] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 zpekic
binary-debuggable-source
2
0000 0000 f test2.asm
3
0000 0000 s switch_lsb  .equ 0x0 ;port 0 when reading
4
0000 0000 s switch_msb  .equ 0x1 ;port 1 when reading
5
0000 0000 s leds_lsb    .equ 0x0 ;port 0 when writing
6
0000 0000 s leds_msb    .equ 0x1 ;port 1 when writing
7
0000 0000 s
8
0000 0000 s             .org 0x0
9
0000 0000 d f3
10
0000 0000 s             di
11
0001 0001 d 11eedd
12
0001 0001 s loop:               lxi d, 0xddee
13
0004 0004 d 01ccbb
14
0004 0004 s             lxi b, 0xbbcc
15
0007 0007 d 21ffff
16
0007 0007 s             lxi h, 0xffff
17
000a 000a d f9
18
000a 000a s             sphl
19
000b 000b d 79
20
000b 000b s             mov a, c
21
000c 000c d d300
22
000c 000c s             out leds_lsb
23
000e 000e d 78
24
000e 000e s             mov a, b
25
000f 000f d d301
26
000f 000f s             out leds_msb
27
0011 0011 d 7b
28
0011 0011 s             mov a, e
29
0012 0012 d d300
30
0012 0012 s             out leds_lsb
31
0014 0014 d 7a
32
0014 0014 s             mov a, d
33
0015 0015 d d301
34
0015 0015 s             out leds_msb
35
0017 0017 d 7d
36
0017 0017 s             mov a, l
37
0018 0018 d d300
38
0018 0018 s             out leds_lsb
39
001a 001a d 7c
40
001a 001a s             mov a, h
41
001b 001b d d301
42
001b 001b s             out leds_msb
43
001d 001d d c20100
44
001d 001d s             jnz loop; dead loop because a is !0
45
0020 0020 d 76
46
0020 0020 s             hlt
47
0021 0021 s
48
0021 0021 s
49
0001 a loop
50
0000 v leds_lsb
51
0001 v leds_msb
52
0000 v switch_lsb
53
0001 v switch_msb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.