OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [hw/] [vlog/] [amber25/] [a25_execute.v] - Blame information for rev 88

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 csantifort
//////////////////////////////////////////////////////////////////
2
//                                                              //
3
//  Execute stage of Amber 25 Core                              //
4
//                                                              //
5
//  This file is part of the Amber project                      //
6
//  http://www.opencores.org/project,amber                      //
7
//                                                              //
8
//  Description                                                 //
9
//  Executes instructions. Instantiates the register file, ALU  //
10
//  multiplication unit and barrel shifter. This stage is       //
11
//  relitively simple. All the complex stuff is done in the     //
12
//  decode stage.                                               //
13
//                                                              //
14
//  Author(s):                                                  //
15
//      - Conor Santifort, csantifort.amber@gmail.com           //
16
//                                                              //
17
//////////////////////////////////////////////////////////////////
18
//                                                              //
19
// Copyright (C) 2011 Authors and OPENCORES.ORG                 //
20
//                                                              //
21
// This source file may be used and distributed without         //
22
// restriction provided that this copyright statement is not    //
23
// removed from the file and that any derivative work contains  //
24
// the original copyright notice and the associated disclaimer. //
25
//                                                              //
26
// This source file is free software; you can redistribute it   //
27
// and/or modify it under the terms of the GNU Lesser General   //
28
// Public License as published by the Free Software Foundation; //
29
// either version 2.1 of the License, or (at your option) any   //
30
// later version.                                               //
31
//                                                              //
32
// This source is distributed in the hope that it will be       //
33
// useful, but WITHOUT ANY WARRANTY; without even the implied   //
34
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      //
35
// PURPOSE.  See the GNU Lesser General Public License for more //
36
// details.                                                     //
37
//                                                              //
38
// You should have received a copy of the GNU Lesser General    //
39
// Public License along with this source; if not, download it   //
40
// from http://www.opencores.org/lgpl.shtml                     //
41
//                                                              //
42
//////////////////////////////////////////////////////////////////
43
 
44
 
45
module a25_execute (
46
 
47
input                       i_clk,
48 35 csantifort
input                       i_core_stall,               // stall all stages of the Amber core at the same time
49 16 csantifort
input                       i_mem_stall,                // data memory access stalls
50 35 csantifort
output                      o_exec_stall,               // stall the core pipeline
51 16 csantifort
 
52
input       [31:0]          i_wb_read_data,             // data reads
53
input                       i_wb_read_data_valid,       // read data is valid
54 35 csantifort
input       [10:0]          i_wb_load_rd,               // Rd for data reads
55 16 csantifort
 
56
input       [31:0]          i_copro_read_data,          // From Co-Processor, to either Register 
57
                                                        // or Memory
58
input                       i_decode_iaccess,           // Indicates an instruction access
59
input                       i_decode_daccess,           // Indicates a data access
60
input       [7:0]           i_decode_load_rd,           // The destination register for a load instruction
61
 
62
output reg  [31:0]          o_copro_write_data = 'd0,
63
output reg  [31:0]          o_write_data = 'd0,
64
output reg  [31:0]          o_iaddress = 32'hdead_dead,
65
output      [31:0]          o_iaddress_nxt,             // un-registered version of address to the 
66
                                                        // cache rams address ports
67
output reg                  o_iaddress_valid = 'd0,     // High when instruction address is valid
68
output reg  [31:0]          o_daddress = 32'h0,         // Address to data cache
69
output      [31:0]          o_daddress_nxt,             // un-registered version of address to the 
70
                                                        // cache rams address ports
71
output reg                  o_daddress_valid = 'd0,     // High when data address is valid
72
output reg                  o_adex = 'd0,               // Address Exception
73
output reg                  o_priviledged = 'd0,        // Priviledged access
74
output reg                  o_exclusive = 'd0,          // swap access
75
output reg                  o_write_enable = 'd0,
76
output reg  [3:0]           o_byte_enable = 'd0,
77 35 csantifort
output reg  [8:0]           o_exec_load_rd = 'd0,       // The destination register for a load instruction
78 16 csantifort
output      [31:0]          o_status_bits,              // Full PC will all status bits, but PC part zero'ed out
79
output                      o_multiply_done,
80
 
81
 
82
// --------------------------------------------------
83
// Control signals from Instruction Decode stage
84
// --------------------------------------------------
85
input      [1:0]            i_status_bits_mode,
86
input                       i_status_bits_irq_mask,
87
input                       i_status_bits_firq_mask,
88
input      [31:0]           i_imm32,
89
input      [4:0]            i_imm_shift_amount,
90
input                       i_shift_imm_zero,
91
input      [3:0]            i_condition,
92
input                       i_decode_exclusive,       // swap access
93
 
94
input      [3:0]            i_rm_sel,
95
input      [3:0]            i_rs_sel,
96
input      [3:0]            i_rn_sel,
97
input      [1:0]            i_barrel_shift_amount_sel,
98
input      [1:0]            i_barrel_shift_data_sel,
99
input      [1:0]            i_barrel_shift_function,
100
input      [8:0]            i_alu_function,
101
input      [1:0]            i_multiply_function,
102
input      [2:0]            i_interrupt_vector_sel,
103
input      [3:0]            i_iaddress_sel,
104
input      [3:0]            i_daddress_sel,
105
input      [2:0]            i_pc_sel,
106
input      [1:0]            i_byte_enable_sel,
107
input      [2:0]            i_status_bits_sel,
108
input      [2:0]            i_reg_write_sel,
109
input                       i_user_mode_regs_store_nxt,
110
input                       i_firq_not_user_mode,
111 83 csantifort
input                       i_use_carry_in,         // e.g. add with carry instruction
112 16 csantifort
 
113
input                       i_write_data_wen,
114
input                       i_base_address_wen,     // save LDM base address register, 
115
                                                    // in case of data abort
116
input                       i_pc_wen,
117
input      [14:0]           i_reg_bank_wen,
118
input                       i_status_bits_flags_wen,
119
input                       i_status_bits_mode_wen,
120
input                       i_status_bits_irq_mask_wen,
121
input                       i_status_bits_firq_mask_wen,
122
input                       i_copro_write_data_wen,
123 20 csantifort
input                       i_conflict,
124
input                       i_rn_use_read,
125
input                       i_rm_use_read,
126
input                       i_rs_use_read,
127
input                       i_rd_use_read
128 16 csantifort
);
129
 
130 82 csantifort
`include "a25_localparams.vh"
131
`include "a25_functions.vh"
132 16 csantifort
 
133
// ========================================================
134
// Internal signals
135
// ========================================================
136
wire [31:0]         write_data_nxt;
137
wire [3:0]          byte_enable_nxt;
138
wire [31:0]         pc_plus4;
139
wire [31:0]         pc_minus4;
140
wire [31:0]         daddress_plus4;
141
wire [31:0]         alu_plus4;
142
wire [31:0]         rn_plus4;
143
wire [31:0]         alu_out;
144
wire [3:0]          alu_flags;
145
wire [31:0]         rm;
146
wire [31:0]         rs;
147
wire [31:0]         rd;
148
wire [31:0]         rn;
149 20 csantifort
wire [31:0]         reg_bank_rn;
150
wire [31:0]         reg_bank_rm;
151
wire [31:0]         reg_bank_rs;
152
wire [31:0]         reg_bank_rd;
153 16 csantifort
wire [31:0]         pc;
154
wire [31:0]         pc_nxt;
155
wire [31:0]         interrupt_vector;
156
wire [7:0]          shift_amount;
157
wire [31:0]         barrel_shift_in;
158
wire [31:0]         barrel_shift_out;
159
wire                barrel_shift_carry;
160 35 csantifort
wire                barrel_shift_stall;
161 88 csantifort
wire                barrel_shift_carry_alu;
162 16 csantifort
 
163
wire [3:0]          status_bits_flags_nxt;
164
reg  [3:0]          status_bits_flags = 'd0;
165
wire [1:0]          status_bits_mode_nxt;
166
reg  [1:0]          status_bits_mode = SVC;
167
                    // one-hot encoded rs select
168
wire [3:0]          status_bits_mode_rds_oh_nxt;
169
reg  [3:0]          status_bits_mode_rds_oh = 1'd1 << OH_SVC;
170
wire                status_bits_mode_rds_oh_update;
171
wire                status_bits_irq_mask_nxt;
172
reg                 status_bits_irq_mask = 1'd1;
173
wire                status_bits_firq_mask_nxt;
174
reg                 status_bits_firq_mask = 1'd1;
175 35 csantifort
wire [8:0]          exec_load_rd_nxt;
176 16 csantifort
 
177
wire                execute;                    // high when condition execution is true
178
wire [31:0]         reg_write_nxt;
179
wire                pc_wen;
180
wire [14:0]         reg_bank_wen;
181
wire [31:0]         multiply_out;
182
wire [1:0]          multiply_flags;
183
reg  [31:0]         base_address = 'd0;             // Saves base address during LDM instruction in 
184
                                                    // case of data abort
185
wire [31:0]         read_data_filtered1;
186
wire [31:0]         read_data_filtered;
187 20 csantifort
wire [31:0]         read_data_filtered_c;
188
reg  [31:0]         read_data_filtered_r = 'd0;
189 86 csantifort
reg  [5:0]          load_rd_r = 'd0;
190
wire [5:0]          load_rd_c;
191 16 csantifort
 
192
wire                write_enable_nxt;
193
wire                daddress_valid_nxt;
194
wire                iaddress_valid_nxt;
195
wire                priviledged_nxt;
196
wire                priviledged_update;
197
wire                iaddress_update;
198
wire                daddress_update;
199
wire                base_address_update;
200
wire                write_data_update;
201
wire                copro_write_data_update;
202
wire                byte_enable_update;
203
wire                exec_load_rd_update;
204
wire                write_enable_update;
205
wire                exclusive_update;
206
wire                status_bits_flags_update;
207
wire                status_bits_mode_update;
208
wire                status_bits_irq_mask_update;
209
wire                status_bits_firq_mask_update;
210
 
211
wire [31:0]         alu_out_pc_filtered;
212
wire                adex_nxt;
213
wire [31:0]         save_int_pc;
214
wire [31:0]         save_int_pc_m4;
215
wire                ldm_flags;
216
wire                ldm_status_bits;
217
 
218 83 csantifort
wire                carry_in;
219
 
220
 
221 16 csantifort
// ========================================================
222
// Status Bits in PC register
223
// ========================================================
224 54 csantifort
wire [1:0] status_bits_mode_out;
225
assign status_bits_mode_out = (i_status_bits_mode_wen && i_status_bits_sel == 3'd1 && !ldm_status_bits) ?
226
                                    alu_out[1:0] : status_bits_mode ;
227
 
228 16 csantifort
assign o_status_bits = {   status_bits_flags,           // 31:28
229
                           status_bits_irq_mask,        // 7
230
                           status_bits_firq_mask,       // 6
231
                           24'd0,
232 54 csantifort
                           status_bits_mode_out };      // 1:0 = mode
233 16 csantifort
 
234
 
235
// ========================================================
236
// Status Bits Select
237
// ========================================================
238 35 csantifort
assign ldm_flags                 = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[8];
239
assign ldm_status_bits           = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[7];
240 16 csantifort
 
241
 
242
assign status_bits_flags_nxt     = ldm_flags                 ? read_data_filtered[31:28]           :
243
                                   i_status_bits_sel == 3'd0 ? alu_flags                           :
244
                                   i_status_bits_sel == 3'd1 ? alu_out          [31:28]            :
245
                                   i_status_bits_sel == 3'd3 ? i_copro_read_data[31:28]            :
246
                                   // 4 = update flags after a multiply operation
247 82 csantifort
                                   i_status_bits_sel == 3'd4 ? { multiply_flags, status_bits_flags[1:0] } :
248
                                   // regops that do not change the overflow flag
249
                                   i_status_bits_sel == 3'd5 ? { alu_flags[3:1], status_bits_flags[0] } :
250
                                                               4'b1111 ;
251 16 csantifort
 
252
assign status_bits_mode_nxt      = ldm_status_bits           ? read_data_filtered [1:0] :
253
                                   i_status_bits_sel == 3'd0 ? i_status_bits_mode       :
254 82 csantifort
                                   i_status_bits_sel == 3'd5 ? i_status_bits_mode       :
255 16 csantifort
                                   i_status_bits_sel == 3'd1 ? alu_out            [1:0] :
256
                                                               i_copro_read_data  [1:0] ;
257
 
258
 
259
// Used for the Rds output of register_bank - this special version of
260
// status_bits_mode speeds up the critical path from status_bits_mode through the
261
// register_bank, barrel_shifter and alu. It moves a mux needed for the
262
// i_user_mode_regs_store_nxt signal back into the previous stage -
263
// so its really part of the decode stage even though the logic is right here
264
// In addition the signal is one-hot encoded to further speed up the logic
265
 
266
assign status_bits_mode_rds_oh_nxt    = i_user_mode_regs_store_nxt ? 1'd1 << OH_USR                            :
267
                                        status_bits_mode_update    ? oh_status_bits_mode(status_bits_mode_nxt) :
268
                                                                     oh_status_bits_mode(status_bits_mode)     ;
269
 
270
 
271
assign status_bits_irq_mask_nxt  = ldm_status_bits           ? read_data_filtered     [27] :
272
                                   i_status_bits_sel == 3'd0 ? i_status_bits_irq_mask      :
273 82 csantifort
                                   i_status_bits_sel == 3'd5 ? i_status_bits_irq_mask      :
274 16 csantifort
                                   i_status_bits_sel == 3'd1 ? alu_out                [27] :
275
                                                               i_copro_read_data      [27] ;
276
 
277
assign status_bits_firq_mask_nxt = ldm_status_bits           ? read_data_filtered     [26] :
278
                                   i_status_bits_sel == 3'd0 ? i_status_bits_firq_mask     :
279 82 csantifort
                                   i_status_bits_sel == 3'd5 ? i_status_bits_firq_mask     :
280 16 csantifort
                                   i_status_bits_sel == 3'd1 ? alu_out                [26] :
281
                                                               i_copro_read_data      [26] ;
282
 
283
 
284
 
285
// ========================================================
286
// Adders
287
// ========================================================
288
assign pc_plus4       = pc         + 32'd4;
289
assign pc_minus4      = pc         - 32'd4;
290
assign daddress_plus4 = o_daddress + 32'd4;
291
assign alu_plus4      = alu_out    + 32'd4;
292
assign rn_plus4       = rn         + 32'd4;
293
 
294
// ========================================================
295
// Barrel Shift Amount Select
296
// ========================================================
297
// An immediate shift value of 0 is translated into 32
298
assign shift_amount = i_barrel_shift_amount_sel == 2'd0 ? 8'd0                         :
299
                      i_barrel_shift_amount_sel == 2'd1 ? rs[7:0]                      :
300
                                                          {3'd0, i_imm_shift_amount  } ;
301
 
302
 
303
// ========================================================
304
// Barrel Shift Data Select
305
// ========================================================
306
assign barrel_shift_in = i_barrel_shift_data_sel == 2'd0 ? i_imm32 : rm ;
307
 
308
 
309
// ========================================================
310
// Interrupt vector Select
311
// ========================================================
312
 
313
assign interrupt_vector = // Reset vector
314
                          (i_interrupt_vector_sel == 3'd0) ? 32'h00000000 :
315
                          // Data abort interrupt vector                 
316
                          (i_interrupt_vector_sel == 3'd1) ? 32'h00000010 :
317
                          // Fast interrupt vector  
318
                          (i_interrupt_vector_sel == 3'd2) ? 32'h0000001c :
319
                          // Regular interrupt vector
320
                          (i_interrupt_vector_sel == 3'd3) ? 32'h00000018 :
321
                          // Prefetch abort interrupt vector
322
                          (i_interrupt_vector_sel == 3'd5) ? 32'h0000000c :
323
                          // Undefined instruction interrupt vector
324
                          (i_interrupt_vector_sel == 3'd6) ? 32'h00000004 :
325
                          // Software (SWI) interrupt vector
326
                          (i_interrupt_vector_sel == 3'd7) ? 32'h00000008 :
327
                          // Default is the address exception interrupt
328
                                                             32'h00000014 ;
329
 
330
 
331
// ========================================================
332
// Address Select
333
// ========================================================
334
assign pc_dmem_wen    = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[3:0] == 4'd15;
335
 
336
// If rd is the pc, then seperate the address bits from the status bits for
337
// generating the next address to fetch
338
assign alu_out_pc_filtered = pc_wen && i_pc_sel == 3'd1 ? pcf(alu_out) : alu_out;
339
 
340
// if current instruction does not execute because it does not meet the condition
341
// then address advances to next instruction
342
assign o_iaddress_nxt = (pc_dmem_wen)            ? pcf(read_data_filtered) :
343
                        (!execute)               ? pc_plus4                :
344
                        (i_iaddress_sel == 4'd0) ? pc_plus4                :
345
                        (i_iaddress_sel == 4'd1) ? alu_out_pc_filtered     :
346
                        (i_iaddress_sel == 4'd2) ? interrupt_vector        :
347
                                                   pc                      ;
348
 
349
 
350
 
351
// if current instruction does not execute because it does not meet the condition
352
// then address advances to next instruction
353
assign o_daddress_nxt = (i_daddress_sel == 4'd1) ? alu_out_pc_filtered   :
354
                        (i_daddress_sel == 4'd2) ? interrupt_vector      :
355
                        (i_daddress_sel == 4'd4) ? rn                    :
356
                        (i_daddress_sel == 4'd5) ? daddress_plus4        :  // MTRANS address incrementer
357
                        (i_daddress_sel == 4'd6) ? alu_plus4             :  // MTRANS decrement after
358
                                                   rn_plus4              ;  // MTRANS increment before
359
 
360
// Data accesses use 32-bit address space, but instruction
361
// accesses are restricted to 26 bit space
362
assign adex_nxt      = |o_iaddress_nxt[31:26] && i_decode_iaccess;
363
 
364
 
365
// ========================================================
366
// Filter Read Data
367
// ========================================================
368 35 csantifort
// mem_load_rd[10:9]-> shift ROR bytes
369
// mem_load_rd[8]   -> load flags with PC
370
// mem_load_rd[7]   -> load status bits with PC
371
// mem_load_rd[6:5] -> Write into this Mode registers
372 16 csantifort
// mem_load_rd[4]   -> zero_extend byte
373
// mem_load_rd[3:0] -> Destination Register 
374 53 csantifort
assign read_data_filtered1 = i_wb_load_rd[10:9] == 2'd0 ? i_wb_read_data                                :
375
                             i_wb_load_rd[10:9] == 2'd1 ? {i_wb_read_data[7:0],  i_wb_read_data[31:8]}  :
376
                             i_wb_load_rd[10:9] == 2'd2 ? {i_wb_read_data[15:0], i_wb_read_data[31:16]} :
377
                                                          {i_wb_read_data[23:0], i_wb_read_data[31:24]} ;
378 16 csantifort
 
379
assign read_data_filtered  = i_wb_load_rd[4] ? {24'd0, read_data_filtered1[7:0]} : read_data_filtered1 ;
380
 
381
 
382
// ========================================================
383
// Program Counter Select
384
// ========================================================
385
// If current instruction does not execute because it does not meet the condition
386
// then PC advances to next instruction
387
assign pc_nxt = (!execute)       ? pc_plus4                :
388
                i_pc_sel == 3'd0 ? pc_plus4                :
389
                i_pc_sel == 3'd1 ? alu_out                 :
390
                i_pc_sel == 3'd2 ? interrupt_vector        :
391
                i_pc_sel == 3'd3 ? pcf(read_data_filtered) :
392
                                   pc_minus4               ;
393
 
394
 
395
// ========================================================
396
// Register Write Select
397
// ========================================================
398
 
399
assign save_int_pc    = { status_bits_flags,
400
                          status_bits_irq_mask,
401
                          status_bits_firq_mask,
402
                          pc[25:2],
403
                          status_bits_mode      };
404
 
405
 
406
assign save_int_pc_m4 = { status_bits_flags,
407
                          status_bits_irq_mask,
408
                          status_bits_firq_mask,
409
                          pc_minus4[25:2],
410
                          status_bits_mode      };
411
 
412
 
413
assign reg_write_nxt = i_reg_write_sel == 3'd0 ? alu_out               :
414
                       // save pc to lr on an interrupt                    
415
                       i_reg_write_sel == 3'd1 ? save_int_pc_m4        :
416
                       // to update Rd at the end of Multiplication
417
                       i_reg_write_sel == 3'd2 ? multiply_out          :
418
                       i_reg_write_sel == 3'd3 ? o_status_bits         :
419
                       i_reg_write_sel == 3'd5 ? i_copro_read_data     :  // mrc
420
                       i_reg_write_sel == 3'd6 ? base_address          :
421
                                                 save_int_pc           ;
422
 
423
 
424
// ========================================================
425
// Byte Enable Select
426
// ========================================================
427
assign byte_enable_nxt = i_byte_enable_sel == 2'd0   ? 4'b1111 :  // word write
428
                         i_byte_enable_sel == 2'd2   ?            // halfword write
429
                         ( o_daddress_nxt[1] == 1'd0 ? 4'b0011 :
430
                                                       4'b1100  ) :
431
 
432
                         o_daddress_nxt[1:0] == 2'd0 ? 4'b0001 :  // byte write
433
                         o_daddress_nxt[1:0] == 2'd1 ? 4'b0010 :
434
                         o_daddress_nxt[1:0] == 2'd2 ? 4'b0100 :
435
                                                       4'b1000 ;
436
 
437
 
438
// ========================================================
439
// Write Data Select
440
// ========================================================
441
assign write_data_nxt = i_byte_enable_sel == 2'd0 ? rd            :
442
                                                    {4{rd[ 7:0]}} ;
443
 
444
 
445
// ========================================================
446
// Conditional Execution
447
// ========================================================
448
assign execute = conditional_execute ( i_condition, status_bits_flags );
449
 
450
// allow the PC to increment to the next instruction when current
451
// instruction does not execute
452
assign pc_wen       = (i_pc_wen || !execute) && !i_conflict;
453
 
454
// only update register bank if current instruction executes
455
assign reg_bank_wen = {{15{execute}} & i_reg_bank_wen};
456
 
457
 
458
// ========================================================
459
// Priviledged output flag
460
// ========================================================
461
// Need to look at status_bits_mode_nxt so switch to priviledged mode
462
// at the same time as assert interrupt vector address
463
assign priviledged_nxt  = ( i_status_bits_mode_wen ? status_bits_mode_nxt : status_bits_mode ) != USR ;
464
 
465
 
466
// ========================================================
467
// Write Enable
468
// ========================================================
469
// This must be de-asserted when execute is fault
470
assign write_enable_nxt = execute && i_write_data_wen;
471
 
472
 
473
// ========================================================
474
// Address Valid
475
// ========================================================
476 35 csantifort
assign daddress_valid_nxt = execute && i_decode_daccess && !i_core_stall;
477 16 csantifort
 
478 20 csantifort
// For some multi-cycle instructions, the stream of instrution
479
// reads can be paused. However if the instruction does not execute
480
// then the read stream must not be interrupted.
481
assign iaddress_valid_nxt = i_decode_iaccess || !execute;
482 16 csantifort
 
483 20 csantifort
 
484 16 csantifort
// ========================================================
485 20 csantifort
// Use read value from data memory instead of from register
486
// ========================================================
487 86 csantifort
assign rn = i_rn_use_read && i_rn_sel == load_rd_c[3:0] && status_bits_mode == load_rd_c[5:4] ? read_data_filtered_c : reg_bank_rn;
488
assign rm = i_rm_use_read && i_rm_sel == load_rd_c[3:0] && status_bits_mode == load_rd_c[5:4] ? read_data_filtered_c : reg_bank_rm;
489
assign rs = i_rs_use_read && i_rs_sel == load_rd_c[3:0] && status_bits_mode == load_rd_c[5:4] ? read_data_filtered_c : reg_bank_rs;
490
assign rd = i_rd_use_read && i_rs_sel == load_rd_c[3:0] && status_bits_mode == load_rd_c[5:4] ? read_data_filtered_c : reg_bank_rd;
491 20 csantifort
 
492
 
493
always@( posedge i_clk )
494
    if ( i_wb_read_data_valid )
495
        begin
496
        read_data_filtered_r <= read_data_filtered;
497 86 csantifort
        load_rd_r            <= {i_wb_load_rd[6:5], i_wb_load_rd[3:0]};
498 20 csantifort
        end
499
 
500
assign read_data_filtered_c = i_wb_read_data_valid ? read_data_filtered : read_data_filtered_r;
501
 
502 86 csantifort
// the register number and the mode
503
assign load_rd_c            = i_wb_read_data_valid ? {i_wb_load_rd[6:5], i_wb_load_rd[3:0]}  : load_rd_r;
504 20 csantifort
 
505 86 csantifort
 
506 20 csantifort
// ========================================================
507 35 csantifort
// Set mode for the destination registers of a mem read
508
// ========================================================
509
// The mode is either user mode, or the current mode
510
assign  exec_load_rd_nxt   = { i_decode_load_rd[7:6],
511
                               i_decode_load_rd[5] ? USR : status_bits_mode,  // 1 bit -> 2 bits
512
                               i_decode_load_rd[4:0] };
513
 
514
 
515
// ========================================================
516 16 csantifort
// Register Update
517
// ========================================================
518 35 csantifort
assign o_exec_stall                    = barrel_shift_stall;
519 16 csantifort
 
520 35 csantifort
assign daddress_update                 = !i_core_stall;
521
assign exec_load_rd_update             = !i_core_stall && execute;
522
assign priviledged_update              = !i_core_stall;
523
assign exclusive_update                = !i_core_stall && execute;
524
assign write_enable_update             = !i_core_stall;
525
assign write_data_update               = !i_core_stall && execute && i_write_data_wen;
526
assign byte_enable_update              = !i_core_stall && execute && i_write_data_wen;
527 16 csantifort
 
528 35 csantifort
assign iaddress_update                 = pc_dmem_wen || (!i_core_stall && !i_conflict);
529
assign copro_write_data_update         = !i_core_stall && execute && i_copro_write_data_wen;
530 16 csantifort
 
531 35 csantifort
assign base_address_update             = !i_core_stall && execute && i_base_address_wen;
532
assign status_bits_flags_update        = ldm_flags       || (!i_core_stall && execute && i_status_bits_flags_wen);
533
assign status_bits_mode_update         = ldm_status_bits || (!i_core_stall && execute && i_status_bits_mode_wen);
534
assign status_bits_mode_rds_oh_update  = !i_core_stall;
535
assign status_bits_irq_mask_update     = ldm_status_bits || (!i_core_stall && execute && i_status_bits_irq_mask_wen);
536
assign status_bits_firq_mask_update    = ldm_status_bits || (!i_core_stall && execute && i_status_bits_firq_mask_wen);
537 16 csantifort
 
538
 
539
always @( posedge i_clk )
540
    begin
541
    o_daddress              <= daddress_update                ? o_daddress_nxt               : o_daddress;
542
    o_daddress_valid        <= daddress_update                ? daddress_valid_nxt           : o_daddress_valid;
543 35 csantifort
    o_exec_load_rd          <= exec_load_rd_update            ? exec_load_rd_nxt             : o_exec_load_rd;
544 16 csantifort
    o_priviledged           <= priviledged_update             ? priviledged_nxt              : o_priviledged;
545
    o_exclusive             <= exclusive_update               ? i_decode_exclusive           : o_exclusive;
546
    o_write_enable          <= write_enable_update            ? write_enable_nxt             : o_write_enable;
547
    o_write_data            <= write_data_update              ? write_data_nxt               : o_write_data;
548
    o_byte_enable           <= byte_enable_update             ? byte_enable_nxt              : o_byte_enable;
549
    o_iaddress              <= iaddress_update                ? o_iaddress_nxt               : o_iaddress;
550
    o_iaddress_valid        <= iaddress_update                ? iaddress_valid_nxt           : o_iaddress_valid;
551
    o_adex                  <= iaddress_update                ? adex_nxt                     : o_adex;
552
    o_copro_write_data      <= copro_write_data_update        ? write_data_nxt               : o_copro_write_data;
553
 
554
    base_address            <= base_address_update            ? rn                           : base_address;
555
 
556
    status_bits_flags       <= status_bits_flags_update       ? status_bits_flags_nxt        : status_bits_flags;
557
    status_bits_mode        <= status_bits_mode_update        ? status_bits_mode_nxt         : status_bits_mode;
558
    status_bits_mode_rds_oh <= status_bits_mode_rds_oh_update ? status_bits_mode_rds_oh_nxt  : status_bits_mode_rds_oh;
559
    status_bits_irq_mask    <= status_bits_irq_mask_update    ? status_bits_irq_mask_nxt     : status_bits_irq_mask;
560
    status_bits_firq_mask   <= status_bits_firq_mask_update   ? status_bits_firq_mask_nxt    : status_bits_firq_mask;
561
    end
562
 
563 35 csantifort
 
564 16 csantifort
// ========================================================
565
// Instantiate Barrel Shift
566
// ========================================================
567 83 csantifort
assign carry_in = i_use_carry_in ? status_bits_flags[1] : 1'd0;
568
 
569 16 csantifort
a25_barrel_shift u_barrel_shift  (
570 35 csantifort
    .i_clk            ( i_clk                     ),
571 16 csantifort
    .i_in             ( barrel_shift_in           ),
572 83 csantifort
    .i_carry_in       ( carry_in                  ),
573 16 csantifort
    .i_shift_amount   ( shift_amount              ),
574
    .i_shift_imm_zero ( i_shift_imm_zero          ),
575
    .i_function       ( i_barrel_shift_function   ),
576
 
577
    .o_out            ( barrel_shift_out          ),
578 35 csantifort
    .o_carry_out      ( barrel_shift_carry        ),
579 88 csantifort
    .o_stall          ( barrel_shift_stall        ));
580 16 csantifort
 
581
 
582
// ========================================================
583
// Instantiate ALU
584
// ========================================================
585 88 csantifort
assign barrel_shift_carry_alu =  i_barrel_shift_data_sel == 2'd0 ?
586
                                  (i_imm_shift_amount[4:1] == 0 ? status_bits_flags[1] : i_imm32[31]) :
587
                                   barrel_shift_carry;
588
 
589 16 csantifort
a25_alu u_alu (
590 88 csantifort
    .i_a_in                 ( rn                      ),
591
    .i_b_in                 ( barrel_shift_out        ),
592
    .i_barrel_shift_carry   ( barrel_shift_carry_alu  ),
593
    .i_status_bits_carry    ( status_bits_flags[1]    ),
594
    .i_function             ( i_alu_function          ),
595 16 csantifort
 
596 88 csantifort
    .o_out                  ( alu_out                 ),
597
    .o_flags                ( alu_flags               ));
598 16 csantifort
 
599
 
600 88 csantifort
 
601 16 csantifort
// ========================================================
602
// Instantiate Booth 64-bit Multiplier-Accumulator
603
// ========================================================
604
a25_multiply u_multiply (
605
    .i_clk          ( i_clk                 ),
606 35 csantifort
    .i_core_stall   ( i_core_stall          ),
607 16 csantifort
    .i_a_in         ( rs                    ),
608
    .i_b_in         ( rm                    ),
609
    .i_function     ( i_multiply_function   ),
610
    .i_execute      ( execute               ),
611
    .o_out          ( multiply_out          ),
612
    .o_flags        ( multiply_flags        ),  // [1] = N, [0] = Z
613
    .o_done         ( o_multiply_done       )
614
);
615
 
616
 
617
// ========================================================
618
// Instantiate Register Bank
619
// ========================================================
620
a25_register_bank u_register_bank(
621
    .i_clk                   ( i_clk                     ),
622 35 csantifort
    .i_core_stall            ( i_core_stall              ),
623 16 csantifort
    .i_mem_stall             ( i_mem_stall               ),
624
    .i_rm_sel                ( i_rm_sel                  ),
625
    .i_rs_sel                ( i_rs_sel                  ),
626
    .i_rn_sel                ( i_rn_sel                  ),
627
    .i_pc_wen                ( pc_wen                    ),
628
    .i_reg_bank_wen          ( reg_bank_wen              ),
629
    .i_pc                    ( pc_nxt[25:2]              ),
630
    .i_reg                   ( reg_write_nxt             ),
631
    .i_mode_idec             ( i_status_bits_mode        ),
632
    .i_mode_exec             ( status_bits_mode          ),
633
 
634
    .i_wb_read_data          ( read_data_filtered        ),
635
    .i_wb_read_data_valid    ( i_wb_read_data_valid      ),
636
    .i_wb_read_data_rd       ( i_wb_load_rd[3:0]         ),
637 35 csantifort
    .i_wb_mode               ( i_wb_load_rd[6:5]         ),
638 16 csantifort
 
639
    .i_status_bits_flags     ( status_bits_flags         ),
640
    .i_status_bits_irq_mask  ( status_bits_irq_mask      ),
641
    .i_status_bits_firq_mask ( status_bits_firq_mask     ),
642
 
643
    // pre-encoded in decode stage to speed up long path
644
    .i_firq_not_user_mode    ( i_firq_not_user_mode      ),
645
 
646
    // use one-hot version for speed, combine with i_user_mode_regs_store
647
    .i_mode_rds_exec         ( status_bits_mode_rds_oh   ),
648
 
649 20 csantifort
    .o_rm                    ( reg_bank_rm               ),
650
    .o_rs                    ( reg_bank_rs               ),
651
    .o_rd                    ( reg_bank_rd               ),
652
    .o_rn                    ( reg_bank_rn               ),
653 16 csantifort
    .o_pc                    ( pc                        )
654
);
655
 
656
 
657 20 csantifort
 
658 16 csantifort
// ========================================================
659
// Debug - non-synthesizable code
660
// ========================================================
661
//synopsys translate_off
662
 
663
wire    [(2*8)-1:0]    xCONDITION;
664
wire    [(4*8)-1:0]    xMODE;
665
 
666
assign  xCONDITION           = i_condition == EQ ? "EQ"  :
667
                               i_condition == NE ? "NE"  :
668
                               i_condition == CS ? "CS"  :
669
                               i_condition == CC ? "CC"  :
670
                               i_condition == MI ? "MI"  :
671
                               i_condition == PL ? "PL"  :
672
                               i_condition == VS ? "VS"  :
673
                               i_condition == VC ? "VC"  :
674
                               i_condition == HI ? "HI"  :
675
                               i_condition == LS ? "LS"  :
676
                               i_condition == GE ? "GE"  :
677
                               i_condition == LT ? "LT"  :
678
                               i_condition == GT ? "GT"  :
679
                               i_condition == LE ? "LE"  :
680
                               i_condition == AL ? "AL"  :
681
                                                   "NV " ;
682
 
683
assign  xMODE  =  status_bits_mode == SVC  ? "SVC"  :
684
                  status_bits_mode == IRQ  ? "IRQ"  :
685
                  status_bits_mode == FIRQ ? "FIRQ" :
686
                  status_bits_mode == USR  ? "USR"  :
687
                                             "XXX"  ;
688
 
689
 
690
//synopsys translate_on
691
 
692
endmodule
693
 
694
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.