OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [hw/] [vlog/] [lib/] [xs6_sram_1024x128_byte_en.v] - Blame information for rev 61

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 61 csantifort
//////////////////////////////////////////////////////////////////
2
//                                                              //
3
//  Wrapper for Xilinx Spartan-6 RAM Block                      //
4
//                                                              //
5
//  This file is part of the Amber project                      //
6
//  http://www.opencores.org/project,amber                      //
7
//                                                              //
8
//  Description                                                 //
9
//  512 words x 128 bits with a per byte write enable           //
10
//                                                              //
11
//  Author(s):                                                  //
12
//      - Conor Santifort, csantifort.amber@gmail.com           //
13
//                                                              //
14
//////////////////////////////////////////////////////////////////
15
//                                                              //
16
// Copyright (C) 2010 Authors and OPENCORES.ORG                 //
17
//                                                              //
18
// This source file may be used and distributed without         //
19
// restriction provided that this copyright statement is not    //
20
// removed from the file and that any derivative work contains  //
21
// the original copyright notice and the associated disclaimer. //
22
//                                                              //
23
// This source file is free software; you can redistribute it   //
24
// and/or modify it under the terms of the GNU Lesser General   //
25
// Public License as published by the Free Software Foundation; //
26
// either version 2.1 of the License, or (at your option) any   //
27
// later version.                                               //
28
//                                                              //
29
// This source is distributed in the hope that it will be       //
30
// useful, but WITHOUT ANY WARRANTY; without even the implied   //
31
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      //
32
// PURPOSE.  See the GNU Lesser General Public License for more //
33
// details.                                                     //
34
//                                                              //
35
// You should have received a copy of the GNU Lesser General    //
36
// Public License along with this source; if not, download it   //
37
// from http://www.opencores.org/lgpl.shtml                     //
38
//                                                              //
39
//////////////////////////////////////////////////////////////////
40
 
41
 
42
module xs6_sram_1024x128_byte_en
43
#(
44
parameter SRAM0_INIT_0 = 256'h0,
45
parameter SRAM0_INIT_1 = 256'h0,
46
parameter SRAM0_INIT_2 = 256'h0,
47
parameter SRAM0_INIT_3 = 256'h0,
48
parameter SRAM0_INIT_4 = 256'h0,
49
parameter SRAM0_INIT_5 = 256'h0,
50
parameter SRAM0_INIT_6 = 256'h0,
51
parameter SRAM0_INIT_7 = 256'h0,
52
parameter SRAM0_INIT_8 = 256'h0,
53
parameter SRAM0_INIT_9 = 256'h0,
54
parameter SRAM0_INIT_10 = 256'h0,
55
parameter SRAM0_INIT_11 = 256'h0,
56
parameter SRAM0_INIT_12 = 256'h0,
57
parameter SRAM0_INIT_13 = 256'h0,
58
parameter SRAM0_INIT_14 = 256'h0,
59
parameter SRAM0_INIT_15 = 256'h0,
60
parameter SRAM0_INIT_16 = 256'h0,
61
parameter SRAM0_INIT_17 = 256'h0,
62
parameter SRAM0_INIT_18 = 256'h0,
63
parameter SRAM0_INIT_19 = 256'h0,
64
parameter SRAM0_INIT_20 = 256'h0,
65
parameter SRAM0_INIT_21 = 256'h0,
66
parameter SRAM0_INIT_22 = 256'h0,
67
parameter SRAM0_INIT_23 = 256'h0,
68
parameter SRAM0_INIT_24 = 256'h0,
69
parameter SRAM0_INIT_25 = 256'h0,
70
parameter SRAM0_INIT_26 = 256'h0,
71
parameter SRAM0_INIT_27 = 256'h0,
72
parameter SRAM0_INIT_28 = 256'h0,
73
parameter SRAM0_INIT_29 = 256'h0,
74
parameter SRAM0_INIT_30 = 256'h0,
75
parameter SRAM0_INIT_31 = 256'h0,
76
parameter SRAM0_INIT_32 = 256'h0,
77
parameter SRAM0_INIT_33 = 256'h0,
78
parameter SRAM0_INIT_34 = 256'h0,
79
parameter SRAM0_INIT_35 = 256'h0,
80
parameter SRAM0_INIT_36 = 256'h0,
81
parameter SRAM0_INIT_37 = 256'h0,
82
parameter SRAM0_INIT_38 = 256'h0,
83
parameter SRAM0_INIT_39 = 256'h0,
84
parameter SRAM0_INIT_40 = 256'h0,
85
parameter SRAM0_INIT_41 = 256'h0,
86
parameter SRAM0_INIT_42 = 256'h0,
87
parameter SRAM0_INIT_43 = 256'h0,
88
parameter SRAM0_INIT_44 = 256'h0,
89
parameter SRAM0_INIT_45 = 256'h0,
90
parameter SRAM0_INIT_46 = 256'h0,
91
parameter SRAM0_INIT_47 = 256'h0,
92
parameter SRAM0_INIT_48 = 256'h0,
93
parameter SRAM0_INIT_49 = 256'h0,
94
parameter SRAM0_INIT_50 = 256'h0,
95
parameter SRAM0_INIT_51 = 256'h0,
96
parameter SRAM0_INIT_52 = 256'h0,
97
parameter SRAM0_INIT_53 = 256'h0,
98
parameter SRAM0_INIT_54 = 256'h0,
99
parameter SRAM0_INIT_55 = 256'h0,
100
parameter SRAM0_INIT_56 = 256'h0,
101
parameter SRAM0_INIT_57 = 256'h0,
102
parameter SRAM0_INIT_58 = 256'h0,
103
parameter SRAM0_INIT_59 = 256'h0,
104
parameter SRAM0_INIT_60 = 256'h0,
105
parameter SRAM0_INIT_61 = 256'h0,
106
parameter SRAM0_INIT_62 = 256'h0,
107
parameter SRAM0_INIT_63 = 256'h0,
108
 
109
 
110
parameter SRAM1_INIT_0 = 256'h0,
111
parameter SRAM1_INIT_1 = 256'h0,
112
parameter SRAM1_INIT_2 = 256'h0,
113
parameter SRAM1_INIT_3 = 256'h0,
114
parameter SRAM1_INIT_4 = 256'h0,
115
parameter SRAM1_INIT_5 = 256'h0,
116
parameter SRAM1_INIT_6 = 256'h0,
117
parameter SRAM1_INIT_7 = 256'h0,
118
parameter SRAM1_INIT_8 = 256'h0,
119
parameter SRAM1_INIT_9 = 256'h0,
120
parameter SRAM1_INIT_10 = 256'h0,
121
parameter SRAM1_INIT_11 = 256'h0,
122
parameter SRAM1_INIT_12 = 256'h0,
123
parameter SRAM1_INIT_13 = 256'h0,
124
parameter SRAM1_INIT_14 = 256'h0,
125
parameter SRAM1_INIT_15 = 256'h0,
126
parameter SRAM1_INIT_16 = 256'h0,
127
parameter SRAM1_INIT_17 = 256'h0,
128
parameter SRAM1_INIT_18 = 256'h0,
129
parameter SRAM1_INIT_19 = 256'h0,
130
parameter SRAM1_INIT_20 = 256'h0,
131
parameter SRAM1_INIT_21 = 256'h0,
132
parameter SRAM1_INIT_22 = 256'h0,
133
parameter SRAM1_INIT_23 = 256'h0,
134
parameter SRAM1_INIT_24 = 256'h0,
135
parameter SRAM1_INIT_25 = 256'h0,
136
parameter SRAM1_INIT_26 = 256'h0,
137
parameter SRAM1_INIT_27 = 256'h0,
138
parameter SRAM1_INIT_28 = 256'h0,
139
parameter SRAM1_INIT_29 = 256'h0,
140
parameter SRAM1_INIT_30 = 256'h0,
141
parameter SRAM1_INIT_31 = 256'h0,
142
parameter SRAM1_INIT_32 = 256'h0,
143
parameter SRAM1_INIT_33 = 256'h0,
144
parameter SRAM1_INIT_34 = 256'h0,
145
parameter SRAM1_INIT_35 = 256'h0,
146
parameter SRAM1_INIT_36 = 256'h0,
147
parameter SRAM1_INIT_37 = 256'h0,
148
parameter SRAM1_INIT_38 = 256'h0,
149
parameter SRAM1_INIT_39 = 256'h0,
150
parameter SRAM1_INIT_40 = 256'h0,
151
parameter SRAM1_INIT_41 = 256'h0,
152
parameter SRAM1_INIT_42 = 256'h0,
153
parameter SRAM1_INIT_43 = 256'h0,
154
parameter SRAM1_INIT_44 = 256'h0,
155
parameter SRAM1_INIT_45 = 256'h0,
156
parameter SRAM1_INIT_46 = 256'h0,
157
parameter SRAM1_INIT_47 = 256'h0,
158
parameter SRAM1_INIT_48 = 256'h0,
159
parameter SRAM1_INIT_49 = 256'h0,
160
parameter SRAM1_INIT_50 = 256'h0,
161
parameter SRAM1_INIT_51 = 256'h0,
162
parameter SRAM1_INIT_52 = 256'h0,
163
parameter SRAM1_INIT_53 = 256'h0,
164
parameter SRAM1_INIT_54 = 256'h0,
165
parameter SRAM1_INIT_55 = 256'h0,
166
parameter SRAM1_INIT_56 = 256'h0,
167
parameter SRAM1_INIT_57 = 256'h0,
168
parameter SRAM1_INIT_58 = 256'h0,
169
parameter SRAM1_INIT_59 = 256'h0,
170
parameter SRAM1_INIT_60 = 256'h0,
171
parameter SRAM1_INIT_61 = 256'h0,
172
parameter SRAM1_INIT_62 = 256'h0,
173
parameter SRAM1_INIT_63 = 256'h0,
174
 
175
 
176
 
177
parameter SRAM2_INIT_0 = 256'h0,
178
parameter SRAM2_INIT_1 = 256'h0,
179
parameter SRAM2_INIT_2 = 256'h0,
180
parameter SRAM2_INIT_3 = 256'h0,
181
parameter SRAM2_INIT_4 = 256'h0,
182
parameter SRAM2_INIT_5 = 256'h0,
183
parameter SRAM2_INIT_6 = 256'h0,
184
parameter SRAM2_INIT_7 = 256'h0,
185
parameter SRAM2_INIT_8 = 256'h0,
186
parameter SRAM2_INIT_9 = 256'h0,
187
parameter SRAM2_INIT_10 = 256'h0,
188
parameter SRAM2_INIT_11 = 256'h0,
189
parameter SRAM2_INIT_12 = 256'h0,
190
parameter SRAM2_INIT_13 = 256'h0,
191
parameter SRAM2_INIT_14 = 256'h0,
192
parameter SRAM2_INIT_15 = 256'h0,
193
parameter SRAM2_INIT_16 = 256'h0,
194
parameter SRAM2_INIT_17 = 256'h0,
195
parameter SRAM2_INIT_18 = 256'h0,
196
parameter SRAM2_INIT_19 = 256'h0,
197
parameter SRAM2_INIT_20 = 256'h0,
198
parameter SRAM2_INIT_21 = 256'h0,
199
parameter SRAM2_INIT_22 = 256'h0,
200
parameter SRAM2_INIT_23 = 256'h0,
201
parameter SRAM2_INIT_24 = 256'h0,
202
parameter SRAM2_INIT_25 = 256'h0,
203
parameter SRAM2_INIT_26 = 256'h0,
204
parameter SRAM2_INIT_27 = 256'h0,
205
parameter SRAM2_INIT_28 = 256'h0,
206
parameter SRAM2_INIT_29 = 256'h0,
207
parameter SRAM2_INIT_30 = 256'h0,
208
parameter SRAM2_INIT_31 = 256'h0,
209
parameter SRAM2_INIT_32 = 256'h0,
210
parameter SRAM2_INIT_33 = 256'h0,
211
parameter SRAM2_INIT_34 = 256'h0,
212
parameter SRAM2_INIT_35 = 256'h0,
213
parameter SRAM2_INIT_36 = 256'h0,
214
parameter SRAM2_INIT_37 = 256'h0,
215
parameter SRAM2_INIT_38 = 256'h0,
216
parameter SRAM2_INIT_39 = 256'h0,
217
parameter SRAM2_INIT_40 = 256'h0,
218
parameter SRAM2_INIT_41 = 256'h0,
219
parameter SRAM2_INIT_42 = 256'h0,
220
parameter SRAM2_INIT_43 = 256'h0,
221
parameter SRAM2_INIT_44 = 256'h0,
222
parameter SRAM2_INIT_45 = 256'h0,
223
parameter SRAM2_INIT_46 = 256'h0,
224
parameter SRAM2_INIT_47 = 256'h0,
225
parameter SRAM2_INIT_48 = 256'h0,
226
parameter SRAM2_INIT_49 = 256'h0,
227
parameter SRAM2_INIT_50 = 256'h0,
228
parameter SRAM2_INIT_51 = 256'h0,
229
parameter SRAM2_INIT_52 = 256'h0,
230
parameter SRAM2_INIT_53 = 256'h0,
231
parameter SRAM2_INIT_54 = 256'h0,
232
parameter SRAM2_INIT_55 = 256'h0,
233
parameter SRAM2_INIT_56 = 256'h0,
234
parameter SRAM2_INIT_57 = 256'h0,
235
parameter SRAM2_INIT_58 = 256'h0,
236
parameter SRAM2_INIT_59 = 256'h0,
237
parameter SRAM2_INIT_60 = 256'h0,
238
parameter SRAM2_INIT_61 = 256'h0,
239
parameter SRAM2_INIT_62 = 256'h0,
240
parameter SRAM2_INIT_63 = 256'h0,
241
 
242
parameter SRAM3_INIT_0 = 256'h0,
243
parameter SRAM3_INIT_1 = 256'h0,
244
parameter SRAM3_INIT_2 = 256'h0,
245
parameter SRAM3_INIT_3 = 256'h0,
246
parameter SRAM3_INIT_4 = 256'h0,
247
parameter SRAM3_INIT_5 = 256'h0,
248
parameter SRAM3_INIT_6 = 256'h0,
249
parameter SRAM3_INIT_7 = 256'h0,
250
parameter SRAM3_INIT_8 = 256'h0,
251
parameter SRAM3_INIT_9 = 256'h0,
252
parameter SRAM3_INIT_10 = 256'h0,
253
parameter SRAM3_INIT_11 = 256'h0,
254
parameter SRAM3_INIT_12 = 256'h0,
255
parameter SRAM3_INIT_13 = 256'h0,
256
parameter SRAM3_INIT_14 = 256'h0,
257
parameter SRAM3_INIT_15 = 256'h0,
258
parameter SRAM3_INIT_16 = 256'h0,
259
parameter SRAM3_INIT_17 = 256'h0,
260
parameter SRAM3_INIT_18 = 256'h0,
261
parameter SRAM3_INIT_19 = 256'h0,
262
parameter SRAM3_INIT_20 = 256'h0,
263
parameter SRAM3_INIT_21 = 256'h0,
264
parameter SRAM3_INIT_22 = 256'h0,
265
parameter SRAM3_INIT_23 = 256'h0,
266
parameter SRAM3_INIT_24 = 256'h0,
267
parameter SRAM3_INIT_25 = 256'h0,
268
parameter SRAM3_INIT_26 = 256'h0,
269
parameter SRAM3_INIT_27 = 256'h0,
270
parameter SRAM3_INIT_28 = 256'h0,
271
parameter SRAM3_INIT_29 = 256'h0,
272
parameter SRAM3_INIT_30 = 256'h0,
273
parameter SRAM3_INIT_31 = 256'h0,
274
parameter SRAM3_INIT_32 = 256'h0,
275
parameter SRAM3_INIT_33 = 256'h0,
276
parameter SRAM3_INIT_34 = 256'h0,
277
parameter SRAM3_INIT_35 = 256'h0,
278
parameter SRAM3_INIT_36 = 256'h0,
279
parameter SRAM3_INIT_37 = 256'h0,
280
parameter SRAM3_INIT_38 = 256'h0,
281
parameter SRAM3_INIT_39 = 256'h0,
282
parameter SRAM3_INIT_40 = 256'h0,
283
parameter SRAM3_INIT_41 = 256'h0,
284
parameter SRAM3_INIT_42 = 256'h0,
285
parameter SRAM3_INIT_43 = 256'h0,
286
parameter SRAM3_INIT_44 = 256'h0,
287
parameter SRAM3_INIT_45 = 256'h0,
288
parameter SRAM3_INIT_46 = 256'h0,
289
parameter SRAM3_INIT_47 = 256'h0,
290
parameter SRAM3_INIT_48 = 256'h0,
291
parameter SRAM3_INIT_49 = 256'h0,
292
parameter SRAM3_INIT_50 = 256'h0,
293
parameter SRAM3_INIT_51 = 256'h0,
294
parameter SRAM3_INIT_52 = 256'h0,
295
parameter SRAM3_INIT_53 = 256'h0,
296
parameter SRAM3_INIT_54 = 256'h0,
297
parameter SRAM3_INIT_55 = 256'h0,
298
parameter SRAM3_INIT_56 = 256'h0,
299
parameter SRAM3_INIT_57 = 256'h0,
300
parameter SRAM3_INIT_58 = 256'h0,
301
parameter SRAM3_INIT_59 = 256'h0,
302
parameter SRAM3_INIT_60 = 256'h0,
303
parameter SRAM3_INIT_61 = 256'h0,
304
parameter SRAM3_INIT_62 = 256'h0,
305
parameter SRAM3_INIT_63 = 256'h0,
306
 
307
 
308
parameter SRAM4_INIT_0 = 256'h0,
309
parameter SRAM4_INIT_1 = 256'h0,
310
parameter SRAM4_INIT_2 = 256'h0,
311
parameter SRAM4_INIT_3 = 256'h0,
312
parameter SRAM4_INIT_4 = 256'h0,
313
parameter SRAM4_INIT_5 = 256'h0,
314
parameter SRAM4_INIT_6 = 256'h0,
315
parameter SRAM4_INIT_7 = 256'h0,
316
parameter SRAM4_INIT_8 = 256'h0,
317
parameter SRAM4_INIT_9 = 256'h0,
318
parameter SRAM4_INIT_10 = 256'h0,
319
parameter SRAM4_INIT_11 = 256'h0,
320
parameter SRAM4_INIT_12 = 256'h0,
321
parameter SRAM4_INIT_13 = 256'h0,
322
parameter SRAM4_INIT_14 = 256'h0,
323
parameter SRAM4_INIT_15 = 256'h0,
324
parameter SRAM4_INIT_16 = 256'h0,
325
parameter SRAM4_INIT_17 = 256'h0,
326
parameter SRAM4_INIT_18 = 256'h0,
327
parameter SRAM4_INIT_19 = 256'h0,
328
parameter SRAM4_INIT_20 = 256'h0,
329
parameter SRAM4_INIT_21 = 256'h0,
330
parameter SRAM4_INIT_22 = 256'h0,
331
parameter SRAM4_INIT_23 = 256'h0,
332
parameter SRAM4_INIT_24 = 256'h0,
333
parameter SRAM4_INIT_25 = 256'h0,
334
parameter SRAM4_INIT_26 = 256'h0,
335
parameter SRAM4_INIT_27 = 256'h0,
336
parameter SRAM4_INIT_28 = 256'h0,
337
parameter SRAM4_INIT_29 = 256'h0,
338
parameter SRAM4_INIT_30 = 256'h0,
339
parameter SRAM4_INIT_31 = 256'h0,
340
parameter SRAM4_INIT_32 = 256'h0,
341
parameter SRAM4_INIT_33 = 256'h0,
342
parameter SRAM4_INIT_34 = 256'h0,
343
parameter SRAM4_INIT_35 = 256'h0,
344
parameter SRAM4_INIT_36 = 256'h0,
345
parameter SRAM4_INIT_37 = 256'h0,
346
parameter SRAM4_INIT_38 = 256'h0,
347
parameter SRAM4_INIT_39 = 256'h0,
348
parameter SRAM4_INIT_40 = 256'h0,
349
parameter SRAM4_INIT_41 = 256'h0,
350
parameter SRAM4_INIT_42 = 256'h0,
351
parameter SRAM4_INIT_43 = 256'h0,
352
parameter SRAM4_INIT_44 = 256'h0,
353
parameter SRAM4_INIT_45 = 256'h0,
354
parameter SRAM4_INIT_46 = 256'h0,
355
parameter SRAM4_INIT_47 = 256'h0,
356
parameter SRAM4_INIT_48 = 256'h0,
357
parameter SRAM4_INIT_49 = 256'h0,
358
parameter SRAM4_INIT_50 = 256'h0,
359
parameter SRAM4_INIT_51 = 256'h0,
360
parameter SRAM4_INIT_52 = 256'h0,
361
parameter SRAM4_INIT_53 = 256'h0,
362
parameter SRAM4_INIT_54 = 256'h0,
363
parameter SRAM4_INIT_55 = 256'h0,
364
parameter SRAM4_INIT_56 = 256'h0,
365
parameter SRAM4_INIT_57 = 256'h0,
366
parameter SRAM4_INIT_58 = 256'h0,
367
parameter SRAM4_INIT_59 = 256'h0,
368
parameter SRAM4_INIT_60 = 256'h0,
369
parameter SRAM4_INIT_61 = 256'h0,
370
parameter SRAM4_INIT_62 = 256'h0,
371
parameter SRAM4_INIT_63 = 256'h0,
372
 
373
 
374
parameter SRAM5_INIT_0 = 256'h0,
375
parameter SRAM5_INIT_1 = 256'h0,
376
parameter SRAM5_INIT_2 = 256'h0,
377
parameter SRAM5_INIT_3 = 256'h0,
378
parameter SRAM5_INIT_4 = 256'h0,
379
parameter SRAM5_INIT_5 = 256'h0,
380
parameter SRAM5_INIT_6 = 256'h0,
381
parameter SRAM5_INIT_7 = 256'h0,
382
parameter SRAM5_INIT_8 = 256'h0,
383
parameter SRAM5_INIT_9 = 256'h0,
384
parameter SRAM5_INIT_10 = 256'h0,
385
parameter SRAM5_INIT_11 = 256'h0,
386
parameter SRAM5_INIT_12 = 256'h0,
387
parameter SRAM5_INIT_13 = 256'h0,
388
parameter SRAM5_INIT_14 = 256'h0,
389
parameter SRAM5_INIT_15 = 256'h0,
390
parameter SRAM5_INIT_16 = 256'h0,
391
parameter SRAM5_INIT_17 = 256'h0,
392
parameter SRAM5_INIT_18 = 256'h0,
393
parameter SRAM5_INIT_19 = 256'h0,
394
parameter SRAM5_INIT_20 = 256'h0,
395
parameter SRAM5_INIT_21 = 256'h0,
396
parameter SRAM5_INIT_22 = 256'h0,
397
parameter SRAM5_INIT_23 = 256'h0,
398
parameter SRAM5_INIT_24 = 256'h0,
399
parameter SRAM5_INIT_25 = 256'h0,
400
parameter SRAM5_INIT_26 = 256'h0,
401
parameter SRAM5_INIT_27 = 256'h0,
402
parameter SRAM5_INIT_28 = 256'h0,
403
parameter SRAM5_INIT_29 = 256'h0,
404
parameter SRAM5_INIT_30 = 256'h0,
405
parameter SRAM5_INIT_31 = 256'h0,
406
parameter SRAM5_INIT_32 = 256'h0,
407
parameter SRAM5_INIT_33 = 256'h0,
408
parameter SRAM5_INIT_34 = 256'h0,
409
parameter SRAM5_INIT_35 = 256'h0,
410
parameter SRAM5_INIT_36 = 256'h0,
411
parameter SRAM5_INIT_37 = 256'h0,
412
parameter SRAM5_INIT_38 = 256'h0,
413
parameter SRAM5_INIT_39 = 256'h0,
414
parameter SRAM5_INIT_40 = 256'h0,
415
parameter SRAM5_INIT_41 = 256'h0,
416
parameter SRAM5_INIT_42 = 256'h0,
417
parameter SRAM5_INIT_43 = 256'h0,
418
parameter SRAM5_INIT_44 = 256'h0,
419
parameter SRAM5_INIT_45 = 256'h0,
420
parameter SRAM5_INIT_46 = 256'h0,
421
parameter SRAM5_INIT_47 = 256'h0,
422
parameter SRAM5_INIT_48 = 256'h0,
423
parameter SRAM5_INIT_49 = 256'h0,
424
parameter SRAM5_INIT_50 = 256'h0,
425
parameter SRAM5_INIT_51 = 256'h0,
426
parameter SRAM5_INIT_52 = 256'h0,
427
parameter SRAM5_INIT_53 = 256'h0,
428
parameter SRAM5_INIT_54 = 256'h0,
429
parameter SRAM5_INIT_55 = 256'h0,
430
parameter SRAM5_INIT_56 = 256'h0,
431
parameter SRAM5_INIT_57 = 256'h0,
432
parameter SRAM5_INIT_58 = 256'h0,
433
parameter SRAM5_INIT_59 = 256'h0,
434
parameter SRAM5_INIT_60 = 256'h0,
435
parameter SRAM5_INIT_61 = 256'h0,
436
parameter SRAM5_INIT_62 = 256'h0,
437
parameter SRAM5_INIT_63 = 256'h0,
438
 
439
 
440
 
441
parameter SRAM6_INIT_0 = 256'h0,
442
parameter SRAM6_INIT_1 = 256'h0,
443
parameter SRAM6_INIT_2 = 256'h0,
444
parameter SRAM6_INIT_3 = 256'h0,
445
parameter SRAM6_INIT_4 = 256'h0,
446
parameter SRAM6_INIT_5 = 256'h0,
447
parameter SRAM6_INIT_6 = 256'h0,
448
parameter SRAM6_INIT_7 = 256'h0,
449
parameter SRAM6_INIT_8 = 256'h0,
450
parameter SRAM6_INIT_9 = 256'h0,
451
parameter SRAM6_INIT_10 = 256'h0,
452
parameter SRAM6_INIT_11 = 256'h0,
453
parameter SRAM6_INIT_12 = 256'h0,
454
parameter SRAM6_INIT_13 = 256'h0,
455
parameter SRAM6_INIT_14 = 256'h0,
456
parameter SRAM6_INIT_15 = 256'h0,
457
parameter SRAM6_INIT_16 = 256'h0,
458
parameter SRAM6_INIT_17 = 256'h0,
459
parameter SRAM6_INIT_18 = 256'h0,
460
parameter SRAM6_INIT_19 = 256'h0,
461
parameter SRAM6_INIT_20 = 256'h0,
462
parameter SRAM6_INIT_21 = 256'h0,
463
parameter SRAM6_INIT_22 = 256'h0,
464
parameter SRAM6_INIT_23 = 256'h0,
465
parameter SRAM6_INIT_24 = 256'h0,
466
parameter SRAM6_INIT_25 = 256'h0,
467
parameter SRAM6_INIT_26 = 256'h0,
468
parameter SRAM6_INIT_27 = 256'h0,
469
parameter SRAM6_INIT_28 = 256'h0,
470
parameter SRAM6_INIT_29 = 256'h0,
471
parameter SRAM6_INIT_30 = 256'h0,
472
parameter SRAM6_INIT_31 = 256'h0,
473
parameter SRAM6_INIT_32 = 256'h0,
474
parameter SRAM6_INIT_33 = 256'h0,
475
parameter SRAM6_INIT_34 = 256'h0,
476
parameter SRAM6_INIT_35 = 256'h0,
477
parameter SRAM6_INIT_36 = 256'h0,
478
parameter SRAM6_INIT_37 = 256'h0,
479
parameter SRAM6_INIT_38 = 256'h0,
480
parameter SRAM6_INIT_39 = 256'h0,
481
parameter SRAM6_INIT_40 = 256'h0,
482
parameter SRAM6_INIT_41 = 256'h0,
483
parameter SRAM6_INIT_42 = 256'h0,
484
parameter SRAM6_INIT_43 = 256'h0,
485
parameter SRAM6_INIT_44 = 256'h0,
486
parameter SRAM6_INIT_45 = 256'h0,
487
parameter SRAM6_INIT_46 = 256'h0,
488
parameter SRAM6_INIT_47 = 256'h0,
489
parameter SRAM6_INIT_48 = 256'h0,
490
parameter SRAM6_INIT_49 = 256'h0,
491
parameter SRAM6_INIT_50 = 256'h0,
492
parameter SRAM6_INIT_51 = 256'h0,
493
parameter SRAM6_INIT_52 = 256'h0,
494
parameter SRAM6_INIT_53 = 256'h0,
495
parameter SRAM6_INIT_54 = 256'h0,
496
parameter SRAM6_INIT_55 = 256'h0,
497
parameter SRAM6_INIT_56 = 256'h0,
498
parameter SRAM6_INIT_57 = 256'h0,
499
parameter SRAM6_INIT_58 = 256'h0,
500
parameter SRAM6_INIT_59 = 256'h0,
501
parameter SRAM6_INIT_60 = 256'h0,
502
parameter SRAM6_INIT_61 = 256'h0,
503
parameter SRAM6_INIT_62 = 256'h0,
504
parameter SRAM6_INIT_63 = 256'h0,
505
 
506
parameter SRAM7_INIT_0 = 256'h0,
507
parameter SRAM7_INIT_1 = 256'h0,
508
parameter SRAM7_INIT_2 = 256'h0,
509
parameter SRAM7_INIT_3 = 256'h0,
510
parameter SRAM7_INIT_4 = 256'h0,
511
parameter SRAM7_INIT_5 = 256'h0,
512
parameter SRAM7_INIT_6 = 256'h0,
513
parameter SRAM7_INIT_7 = 256'h0,
514
parameter SRAM7_INIT_8 = 256'h0,
515
parameter SRAM7_INIT_9 = 256'h0,
516
parameter SRAM7_INIT_10 = 256'h0,
517
parameter SRAM7_INIT_11 = 256'h0,
518
parameter SRAM7_INIT_12 = 256'h0,
519
parameter SRAM7_INIT_13 = 256'h0,
520
parameter SRAM7_INIT_14 = 256'h0,
521
parameter SRAM7_INIT_15 = 256'h0,
522
parameter SRAM7_INIT_16 = 256'h0,
523
parameter SRAM7_INIT_17 = 256'h0,
524
parameter SRAM7_INIT_18 = 256'h0,
525
parameter SRAM7_INIT_19 = 256'h0,
526
parameter SRAM7_INIT_20 = 256'h0,
527
parameter SRAM7_INIT_21 = 256'h0,
528
parameter SRAM7_INIT_22 = 256'h0,
529
parameter SRAM7_INIT_23 = 256'h0,
530
parameter SRAM7_INIT_24 = 256'h0,
531
parameter SRAM7_INIT_25 = 256'h0,
532
parameter SRAM7_INIT_26 = 256'h0,
533
parameter SRAM7_INIT_27 = 256'h0,
534
parameter SRAM7_INIT_28 = 256'h0,
535
parameter SRAM7_INIT_29 = 256'h0,
536
parameter SRAM7_INIT_30 = 256'h0,
537
parameter SRAM7_INIT_31 = 256'h0,
538
parameter SRAM7_INIT_32 = 256'h0,
539
parameter SRAM7_INIT_33 = 256'h0,
540
parameter SRAM7_INIT_34 = 256'h0,
541
parameter SRAM7_INIT_35 = 256'h0,
542
parameter SRAM7_INIT_36 = 256'h0,
543
parameter SRAM7_INIT_37 = 256'h0,
544
parameter SRAM7_INIT_38 = 256'h0,
545
parameter SRAM7_INIT_39 = 256'h0,
546
parameter SRAM7_INIT_40 = 256'h0,
547
parameter SRAM7_INIT_41 = 256'h0,
548
parameter SRAM7_INIT_42 = 256'h0,
549
parameter SRAM7_INIT_43 = 256'h0,
550
parameter SRAM7_INIT_44 = 256'h0,
551
parameter SRAM7_INIT_45 = 256'h0,
552
parameter SRAM7_INIT_46 = 256'h0,
553
parameter SRAM7_INIT_47 = 256'h0,
554
parameter SRAM7_INIT_48 = 256'h0,
555
parameter SRAM7_INIT_49 = 256'h0,
556
parameter SRAM7_INIT_50 = 256'h0,
557
parameter SRAM7_INIT_51 = 256'h0,
558
parameter SRAM7_INIT_52 = 256'h0,
559
parameter SRAM7_INIT_53 = 256'h0,
560
parameter SRAM7_INIT_54 = 256'h0,
561
parameter SRAM7_INIT_55 = 256'h0,
562
parameter SRAM7_INIT_56 = 256'h0,
563
parameter SRAM7_INIT_57 = 256'h0,
564
parameter SRAM7_INIT_58 = 256'h0,
565
parameter SRAM7_INIT_59 = 256'h0,
566
parameter SRAM7_INIT_60 = 256'h0,
567
parameter SRAM7_INIT_61 = 256'h0,
568
parameter SRAM7_INIT_62 = 256'h0,
569
parameter SRAM7_INIT_63 = 256'h0,
570
 
571
parameter UNUSED       = 1'd1
572
 
573
)
574
 
575
(
576
input              i_clk,
577
input      [127:0] i_write_data,
578
input              i_write_enable,
579
input      [9:0]   i_address,
580
input      [15:0]  i_byte_enable,
581
output     [127:0] o_read_data
582
 
583
);
584
 
585
 
586
wire [23:0]  nc24_00, nc24_01, nc24_02, nc24_03;
587
wire [15:0]  wea_b0;
588
wire [15:0]  wea_b1;
589
wire [127:0] read_data_b0;
590
wire [127:0] read_data_b1;
591
reg          address_9_r;
592
 
593
 
594
always @(posedge i_clk)
595
    address_9_r <= i_address[9];
596
 
597
assign wea_b0       = {16{i_write_enable & ~i_address[9]}} & i_byte_enable;
598
assign wea_b1       = {16{i_write_enable &  i_address[9]}} & i_byte_enable;
599
assign o_read_data  = address_9_r ? read_data_b1 : read_data_b0;
600
 
601
 
602
// -----------------------------------------
603
// Bank 0 - first 8kb block  
604
// -----------------------------------------
605
  RAMB16BWER #(
606
    .DATA_WIDTH_A ( 36              ),
607
    .DATA_WIDTH_B ( 36              ),
608
    .DOA_REG      ( 0               ),
609
    .DOB_REG      ( 0               ),
610
    .EN_RSTRAM_A  ( "FALSE"         ),
611
    .EN_RSTRAM_B  ( "FALSE"         ),
612
    .SRVAL_A      ( 36'h000000000   ),
613
    .INITP_00     ( 256'h0          ),
614
    .INITP_01     ( 256'h0          ),
615
    .INITP_02     ( 256'h0          ),
616
    .INITP_03     ( 256'h0          ),
617
    .INITP_04     ( 256'h0          ),
618
    .INITP_05     ( 256'h0          ),
619
    .INITP_06     ( 256'h0          ),
620
    .INITP_07     ( 256'h0          ),
621
 
622
    .INIT_00 ( SRAM0_INIT_0  ),
623
    .INIT_01 ( SRAM0_INIT_1  ),
624
    .INIT_02 ( SRAM0_INIT_2  ),
625
    .INIT_03 ( SRAM0_INIT_3  ),
626
    .INIT_04 ( SRAM0_INIT_4  ),
627
    .INIT_05 ( SRAM0_INIT_5  ),
628
    .INIT_06 ( SRAM0_INIT_6  ),
629
    .INIT_07 ( SRAM0_INIT_7  ),
630
    .INIT_08 ( SRAM0_INIT_8  ),
631
    .INIT_09 ( SRAM0_INIT_9  ),
632
    .INIT_0A ( SRAM0_INIT_10 ),
633
    .INIT_0B ( SRAM0_INIT_11 ),
634
    .INIT_0C ( SRAM0_INIT_12 ),
635
    .INIT_0D ( SRAM0_INIT_13 ),
636
    .INIT_0E ( SRAM0_INIT_14 ),
637
    .INIT_0F ( SRAM0_INIT_15 ),
638
    .INIT_10 ( SRAM0_INIT_16 ),
639
    .INIT_11 ( SRAM0_INIT_17 ),
640
    .INIT_12 ( SRAM0_INIT_18 ),
641
    .INIT_13 ( SRAM0_INIT_19 ),
642
    .INIT_14 ( SRAM0_INIT_20 ),
643
    .INIT_15 ( SRAM0_INIT_21 ),
644
    .INIT_16 ( SRAM0_INIT_22 ),
645
    .INIT_17 ( SRAM0_INIT_23 ),
646
    .INIT_18 ( SRAM0_INIT_24 ),
647
    .INIT_19 ( SRAM0_INIT_25 ),
648
    .INIT_1A ( SRAM0_INIT_26 ),
649
    .INIT_1B ( SRAM0_INIT_27 ),
650
    .INIT_1C ( SRAM0_INIT_28 ),
651
    .INIT_1D ( SRAM0_INIT_29 ),
652
    .INIT_1E ( SRAM0_INIT_30 ),
653
    .INIT_1F ( SRAM0_INIT_31 ),
654
    .INIT_20 ( SRAM0_INIT_32 ),
655
    .INIT_21 ( SRAM0_INIT_33 ),
656
    .INIT_22 ( SRAM0_INIT_34 ),
657
    .INIT_23 ( SRAM0_INIT_35 ),
658
    .INIT_24 ( SRAM0_INIT_36 ),
659
    .INIT_25 ( SRAM0_INIT_37 ),
660
    .INIT_26 ( SRAM0_INIT_38 ),
661
    .INIT_27 ( SRAM0_INIT_39 ),
662
    .INIT_28 ( SRAM0_INIT_40 ),
663
    .INIT_29 ( SRAM0_INIT_41 ),
664
    .INIT_2A ( SRAM0_INIT_42 ),
665
    .INIT_2B ( SRAM0_INIT_43 ),
666
    .INIT_2C ( SRAM0_INIT_44 ),
667
    .INIT_2D ( SRAM0_INIT_45 ),
668
    .INIT_2E ( SRAM0_INIT_46 ),
669
    .INIT_2F ( SRAM0_INIT_47 ),
670
    .INIT_30 ( SRAM0_INIT_48 ),
671
    .INIT_31 ( SRAM0_INIT_49 ),
672
    .INIT_32 ( SRAM0_INIT_50 ),
673
    .INIT_33 ( SRAM0_INIT_51 ),
674
    .INIT_34 ( SRAM0_INIT_52 ),
675
    .INIT_35 ( SRAM0_INIT_53 ),
676
    .INIT_36 ( SRAM0_INIT_54 ),
677
    .INIT_37 ( SRAM0_INIT_55 ),
678
    .INIT_38 ( SRAM0_INIT_56 ),
679
    .INIT_39 ( SRAM0_INIT_57 ),
680
    .INIT_3A ( SRAM0_INIT_58 ),
681
    .INIT_3B ( SRAM0_INIT_59 ),
682
    .INIT_3C ( SRAM0_INIT_60 ),
683
    .INIT_3D ( SRAM0_INIT_61 ),
684
    .INIT_3E ( SRAM0_INIT_62 ),
685
    .INIT_3F ( SRAM0_INIT_63 ),
686
 
687
    .INIT_FILE              ( "NONE"            ),
688
    .RSTTYPE                ( "SYNC"            ),
689
    .RST_PRIORITY_A         ( "CE"              ),
690
    .RST_PRIORITY_B         ( "CE"              ),
691
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
692
    .SIM_DEVICE             ( "SPARTAN6"        ),
693
    .INIT_A                 ( 36'h000000000     ),
694
    .INIT_B                 ( 36'h000000000     ),
695
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
696
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
697
    .SRVAL_B                ( 36'h000000000     ))
698
  u_sram0 (
699
    .REGCEA ( 1'd0                              ),
700
    .CLKA   ( i_clk                             ),
701
    .ENB    ( 1'd0                              ),
702
    .RSTB   ( 1'd0                              ),
703
    .CLKB   ( 1'd0                              ),
704
    .REGCEB ( 1'd0                              ),
705
    .RSTA   ( 1'd0                              ),
706
    .ENA    ( 1'd1                              ),
707
    .DIPA   ( 4'd0                              ),
708
    .WEA    ( wea_b0[3:0]                       ),
709
    .DOA    ( read_data_b0[31:0]                ),
710
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
711
    .ADDRB  ( 14'd0                             ),
712
    .DIB    ( 32'd0                             ),
713
    .DOPA   (                                   ),
714
    .DIPB   ( 4'd0                              ),
715
    .DOPB   (                                   ),
716
    .DOB    (                                   ),
717
    .WEB    ( 4'd0                              ),
718
    .DIA    ( i_write_data[31:0]                )
719
  );
720
 
721
 
722
 
723
  RAMB16BWER #(
724
    .DATA_WIDTH_A   ( 36            ),
725
    .DATA_WIDTH_B   ( 36            ),
726
    .DOA_REG        ( 0             ),
727
    .DOB_REG        ( 0             ),
728
    .EN_RSTRAM_A    ( "FALSE"       ),
729
    .EN_RSTRAM_B    ( "FALSE"       ),
730
    .SRVAL_A        ( 36'h000000000 ),
731
    .INITP_00       ( 256'h0        ),
732
    .INITP_01       ( 256'h0        ),
733
    .INITP_02       ( 256'h0        ),
734
    .INITP_03       ( 256'h0        ),
735
    .INITP_04       ( 256'h0        ),
736
    .INITP_05       ( 256'h0        ),
737
    .INITP_06       ( 256'h0        ),
738
    .INITP_07       ( 256'h0        ),
739
 
740
    .INIT_00 ( SRAM1_INIT_0  ),
741
    .INIT_01 ( SRAM1_INIT_1  ),
742
    .INIT_02 ( SRAM1_INIT_2  ),
743
    .INIT_03 ( SRAM1_INIT_3  ),
744
    .INIT_04 ( SRAM1_INIT_4  ),
745
    .INIT_05 ( SRAM1_INIT_5  ),
746
    .INIT_06 ( SRAM1_INIT_6  ),
747
    .INIT_07 ( SRAM1_INIT_7  ),
748
    .INIT_08 ( SRAM1_INIT_8  ),
749
    .INIT_09 ( SRAM1_INIT_9  ),
750
    .INIT_0A ( SRAM1_INIT_10 ),
751
    .INIT_0B ( SRAM1_INIT_11 ),
752
    .INIT_0C ( SRAM1_INIT_12 ),
753
    .INIT_0D ( SRAM1_INIT_13 ),
754
    .INIT_0E ( SRAM1_INIT_14 ),
755
    .INIT_0F ( SRAM1_INIT_15 ),
756
    .INIT_10 ( SRAM1_INIT_16 ),
757
    .INIT_11 ( SRAM1_INIT_17 ),
758
    .INIT_12 ( SRAM1_INIT_18 ),
759
    .INIT_13 ( SRAM1_INIT_19 ),
760
    .INIT_14 ( SRAM1_INIT_20 ),
761
    .INIT_15 ( SRAM1_INIT_21 ),
762
    .INIT_16 ( SRAM1_INIT_22 ),
763
    .INIT_17 ( SRAM1_INIT_23 ),
764
    .INIT_18 ( SRAM1_INIT_24 ),
765
    .INIT_19 ( SRAM1_INIT_25 ),
766
    .INIT_1A ( SRAM1_INIT_26 ),
767
    .INIT_1B ( SRAM1_INIT_27 ),
768
    .INIT_1C ( SRAM1_INIT_28 ),
769
    .INIT_1D ( SRAM1_INIT_29 ),
770
    .INIT_1E ( SRAM1_INIT_30 ),
771
    .INIT_1F ( SRAM1_INIT_31 ),
772
    .INIT_20 ( SRAM1_INIT_32 ),
773
    .INIT_21 ( SRAM1_INIT_33 ),
774
    .INIT_22 ( SRAM1_INIT_34 ),
775
    .INIT_23 ( SRAM1_INIT_35 ),
776
    .INIT_24 ( SRAM1_INIT_36 ),
777
    .INIT_25 ( SRAM1_INIT_37 ),
778
    .INIT_26 ( SRAM1_INIT_38 ),
779
    .INIT_27 ( SRAM1_INIT_39 ),
780
    .INIT_28 ( SRAM1_INIT_40 ),
781
    .INIT_29 ( SRAM1_INIT_41 ),
782
    .INIT_2A ( SRAM1_INIT_42 ),
783
    .INIT_2B ( SRAM1_INIT_43 ),
784
    .INIT_2C ( SRAM1_INIT_44 ),
785
    .INIT_2D ( SRAM1_INIT_45 ),
786
    .INIT_2E ( SRAM1_INIT_46 ),
787
    .INIT_2F ( SRAM1_INIT_47 ),
788
    .INIT_30 ( SRAM1_INIT_48 ),
789
    .INIT_31 ( SRAM1_INIT_49 ),
790
    .INIT_32 ( SRAM1_INIT_50 ),
791
    .INIT_33 ( SRAM1_INIT_51 ),
792
    .INIT_34 ( SRAM1_INIT_52 ),
793
    .INIT_35 ( SRAM1_INIT_53 ),
794
    .INIT_36 ( SRAM1_INIT_54 ),
795
    .INIT_37 ( SRAM1_INIT_55 ),
796
    .INIT_38 ( SRAM1_INIT_56 ),
797
    .INIT_39 ( SRAM1_INIT_57 ),
798
    .INIT_3A ( SRAM1_INIT_58 ),
799
    .INIT_3B ( SRAM1_INIT_59 ),
800
    .INIT_3C ( SRAM1_INIT_60 ),
801
    .INIT_3D ( SRAM1_INIT_61 ),
802
    .INIT_3E ( SRAM1_INIT_62 ),
803
    .INIT_3F ( SRAM1_INIT_63 ),
804
 
805
    .INIT_FILE              ( "NONE"            ),
806
    .RSTTYPE                ( "SYNC"            ),
807
    .RST_PRIORITY_A         ( "CE"              ),
808
    .RST_PRIORITY_B         ( "CE"              ),
809
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
810
    .SIM_DEVICE             ( "SPARTAN6"        ),
811
    .INIT_A                 ( 36'h000000000     ),
812
    .INIT_B                 ( 36'h000000000     ),
813
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
814
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
815
    .SRVAL_B                ( 36'h000000000     ))
816
  u_sram1  (
817
    .REGCEA ( 1'd0                              ),
818
    .CLKA   ( i_clk                             ),
819
    .ENB    ( 1'd0                              ),
820
    .RSTB   ( 1'd0                              ),
821
    .CLKB   ( 1'd0                              ),
822
    .REGCEB ( 1'd0                              ),
823
    .RSTA   ( 1'd0                              ),
824
    .ENA    ( 1'd1                              ),
825
    .DIPA   ( 4'd0                              ),
826
    .WEA    ( wea_b0[7:4]                       ),
827
    .DOA    ( read_data_b0[63:32]               ),
828
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
829
    .ADDRB  ( 14'd0                             ),
830
    .DIB    ( 32'd0                             ),
831
    .DOPA   (                                   ),
832
    .DIPB   ( 4'd0                              ),
833
    .DOPB   (                                   ),
834
    .DOB    (                                   ),
835
    .WEB    ( 4'd0                              ),
836
    .DIA    ( i_write_data[63:32]               )
837
  );
838
 
839
 
840
  RAMB16BWER #(
841
    .DATA_WIDTH_A   ( 36            ),
842
    .DATA_WIDTH_B   ( 36            ),
843
    .DOA_REG        ( 0             ),
844
    .DOB_REG        ( 0             ),
845
    .EN_RSTRAM_A    ( "FALSE"       ),
846
    .EN_RSTRAM_B    ( "FALSE"       ),
847
    .SRVAL_A        ( 36'h000000000 ),
848
    .INITP_00       ( 256'h0        ),
849
    .INITP_01       ( 256'h0        ),
850
    .INITP_02       ( 256'h0        ),
851
    .INITP_03       ( 256'h0        ),
852
    .INITP_04       ( 256'h0        ),
853
    .INITP_05       ( 256'h0        ),
854
    .INITP_06       ( 256'h0        ),
855
    .INITP_07       ( 256'h0        ),
856
 
857
    .INIT_00 ( SRAM2_INIT_0  ),
858
    .INIT_01 ( SRAM2_INIT_1  ),
859
    .INIT_02 ( SRAM2_INIT_2  ),
860
    .INIT_03 ( SRAM2_INIT_3  ),
861
    .INIT_04 ( SRAM2_INIT_4  ),
862
    .INIT_05 ( SRAM2_INIT_5  ),
863
    .INIT_06 ( SRAM2_INIT_6  ),
864
    .INIT_07 ( SRAM2_INIT_7  ),
865
    .INIT_08 ( SRAM2_INIT_8  ),
866
    .INIT_09 ( SRAM2_INIT_9  ),
867
    .INIT_0A ( SRAM2_INIT_10 ),
868
    .INIT_0B ( SRAM2_INIT_11 ),
869
    .INIT_0C ( SRAM2_INIT_12 ),
870
    .INIT_0D ( SRAM2_INIT_13 ),
871
    .INIT_0E ( SRAM2_INIT_14 ),
872
    .INIT_0F ( SRAM2_INIT_15 ),
873
    .INIT_10 ( SRAM2_INIT_16 ),
874
    .INIT_11 ( SRAM2_INIT_17 ),
875
    .INIT_12 ( SRAM2_INIT_18 ),
876
    .INIT_13 ( SRAM2_INIT_19 ),
877
    .INIT_14 ( SRAM2_INIT_20 ),
878
    .INIT_15 ( SRAM2_INIT_21 ),
879
    .INIT_16 ( SRAM2_INIT_22 ),
880
    .INIT_17 ( SRAM2_INIT_23 ),
881
    .INIT_18 ( SRAM2_INIT_24 ),
882
    .INIT_19 ( SRAM2_INIT_25 ),
883
    .INIT_1A ( SRAM2_INIT_26 ),
884
    .INIT_1B ( SRAM2_INIT_27 ),
885
    .INIT_1C ( SRAM2_INIT_28 ),
886
    .INIT_1D ( SRAM2_INIT_29 ),
887
    .INIT_1E ( SRAM2_INIT_30 ),
888
    .INIT_1F ( SRAM2_INIT_31 ),
889
    .INIT_20 ( SRAM2_INIT_32 ),
890
    .INIT_21 ( SRAM2_INIT_33 ),
891
    .INIT_22 ( SRAM2_INIT_34 ),
892
    .INIT_23 ( SRAM2_INIT_35 ),
893
    .INIT_24 ( SRAM2_INIT_36 ),
894
    .INIT_25 ( SRAM2_INIT_37 ),
895
    .INIT_26 ( SRAM2_INIT_38 ),
896
    .INIT_27 ( SRAM2_INIT_39 ),
897
    .INIT_28 ( SRAM2_INIT_40 ),
898
    .INIT_29 ( SRAM2_INIT_41 ),
899
    .INIT_2A ( SRAM2_INIT_42 ),
900
    .INIT_2B ( SRAM2_INIT_43 ),
901
    .INIT_2C ( SRAM2_INIT_44 ),
902
    .INIT_2D ( SRAM2_INIT_45 ),
903
    .INIT_2E ( SRAM2_INIT_46 ),
904
    .INIT_2F ( SRAM2_INIT_47 ),
905
    .INIT_30 ( SRAM2_INIT_48 ),
906
    .INIT_31 ( SRAM2_INIT_49 ),
907
    .INIT_32 ( SRAM2_INIT_50 ),
908
    .INIT_33 ( SRAM2_INIT_51 ),
909
    .INIT_34 ( SRAM2_INIT_52 ),
910
    .INIT_35 ( SRAM2_INIT_53 ),
911
    .INIT_36 ( SRAM2_INIT_54 ),
912
    .INIT_37 ( SRAM2_INIT_55 ),
913
    .INIT_38 ( SRAM2_INIT_56 ),
914
    .INIT_39 ( SRAM2_INIT_57 ),
915
    .INIT_3A ( SRAM2_INIT_58 ),
916
    .INIT_3B ( SRAM2_INIT_59 ),
917
    .INIT_3C ( SRAM2_INIT_60 ),
918
    .INIT_3D ( SRAM2_INIT_61 ),
919
    .INIT_3E ( SRAM2_INIT_62 ),
920
    .INIT_3F ( SRAM2_INIT_63 ),
921
 
922
    .INIT_FILE              ( "NONE"            ),
923
    .RSTTYPE                ( "SYNC"            ),
924
    .RST_PRIORITY_A         ( "CE"              ),
925
    .RST_PRIORITY_B         ( "CE"              ),
926
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
927
    .SIM_DEVICE             ( "SPARTAN6"        ),
928
    .INIT_A                 ( 36'h000000000     ),
929
    .INIT_B                 ( 36'h000000000     ),
930
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
931
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
932
    .SRVAL_B                ( 36'h000000000     ))
933
  u_sram2 (
934
    .REGCEA ( 1'd0                              ),
935
    .CLKA   ( i_clk                             ),
936
    .ENB    ( 1'd0                              ),
937
    .RSTB   ( 1'd0                              ),
938
    .CLKB   ( 1'd0                              ),
939
    .REGCEB ( 1'd0                              ),
940
    .RSTA   ( 1'd0                              ),
941
    .ENA    ( 1'd1                              ),
942
    .DIPA   ( 4'd0                              ),
943
    .WEA    ( wea_b0[11:8]                      ),
944
    .DOA    ( read_data_b0[95:64]               ),
945
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
946
    .ADDRB  ( 14'd0                             ),
947
    .DIB    ( 32'd0                             ),
948
    .DOPA   (                                   ),
949
    .DIPB   ( 4'd0                              ),
950
    .DOPB   (                                   ),
951
    .DOB    (                                   ),
952
    .WEB    ( 4'd0                              ),
953
    .DIA    ( i_write_data[95:64]               )
954
  );
955
 
956
 
957
 
958
  RAMB16BWER #(
959
    .DATA_WIDTH_A   ( 36            ),
960
    .DATA_WIDTH_B   ( 36            ),
961
    .DOA_REG        ( 0             ),
962
    .DOB_REG        ( 0             ),
963
    .EN_RSTRAM_A    ( "FALSE"       ),
964
    .EN_RSTRAM_B    ( "FALSE"       ),
965
    .SRVAL_A        ( 36'h000000000 ),
966
    .INITP_00       ( 256'h0        ),
967
    .INITP_01       ( 256'h0        ),
968
    .INITP_02       ( 256'h0        ),
969
    .INITP_03       ( 256'h0        ),
970
    .INITP_04       ( 256'h0        ),
971
    .INITP_05       ( 256'h0        ),
972
    .INITP_06       ( 256'h0        ),
973
    .INITP_07       ( 256'h0        ),
974
 
975
    .INIT_00 ( SRAM3_INIT_0  ),
976
    .INIT_01 ( SRAM3_INIT_1  ),
977
    .INIT_02 ( SRAM3_INIT_2  ),
978
    .INIT_03 ( SRAM3_INIT_3  ),
979
    .INIT_04 ( SRAM3_INIT_4  ),
980
    .INIT_05 ( SRAM3_INIT_5  ),
981
    .INIT_06 ( SRAM3_INIT_6  ),
982
    .INIT_07 ( SRAM3_INIT_7  ),
983
    .INIT_08 ( SRAM3_INIT_8  ),
984
    .INIT_09 ( SRAM3_INIT_9  ),
985
    .INIT_0A ( SRAM3_INIT_10 ),
986
    .INIT_0B ( SRAM3_INIT_11 ),
987
    .INIT_0C ( SRAM3_INIT_12 ),
988
    .INIT_0D ( SRAM3_INIT_13 ),
989
    .INIT_0E ( SRAM3_INIT_14 ),
990
    .INIT_0F ( SRAM3_INIT_15 ),
991
    .INIT_10 ( SRAM3_INIT_16 ),
992
    .INIT_11 ( SRAM3_INIT_17 ),
993
    .INIT_12 ( SRAM3_INIT_18 ),
994
    .INIT_13 ( SRAM3_INIT_19 ),
995
    .INIT_14 ( SRAM3_INIT_20 ),
996
    .INIT_15 ( SRAM3_INIT_21 ),
997
    .INIT_16 ( SRAM3_INIT_22 ),
998
    .INIT_17 ( SRAM3_INIT_23 ),
999
    .INIT_18 ( SRAM3_INIT_24 ),
1000
    .INIT_19 ( SRAM3_INIT_25 ),
1001
    .INIT_1A ( SRAM3_INIT_26 ),
1002
    .INIT_1B ( SRAM3_INIT_27 ),
1003
    .INIT_1C ( SRAM3_INIT_28 ),
1004
    .INIT_1D ( SRAM3_INIT_29 ),
1005
    .INIT_1E ( SRAM3_INIT_30 ),
1006
    .INIT_1F ( SRAM3_INIT_31 ),
1007
    .INIT_20 ( SRAM3_INIT_32 ),
1008
    .INIT_21 ( SRAM3_INIT_33 ),
1009
    .INIT_22 ( SRAM3_INIT_34 ),
1010
    .INIT_23 ( SRAM3_INIT_35 ),
1011
    .INIT_24 ( SRAM3_INIT_36 ),
1012
    .INIT_25 ( SRAM3_INIT_37 ),
1013
    .INIT_26 ( SRAM3_INIT_38 ),
1014
    .INIT_27 ( SRAM3_INIT_39 ),
1015
    .INIT_28 ( SRAM3_INIT_40 ),
1016
    .INIT_29 ( SRAM3_INIT_41 ),
1017
    .INIT_2A ( SRAM3_INIT_42 ),
1018
    .INIT_2B ( SRAM3_INIT_43 ),
1019
    .INIT_2C ( SRAM3_INIT_44 ),
1020
    .INIT_2D ( SRAM3_INIT_45 ),
1021
    .INIT_2E ( SRAM3_INIT_46 ),
1022
    .INIT_2F ( SRAM3_INIT_47 ),
1023
    .INIT_30 ( SRAM3_INIT_48 ),
1024
    .INIT_31 ( SRAM3_INIT_49 ),
1025
    .INIT_32 ( SRAM3_INIT_50 ),
1026
    .INIT_33 ( SRAM3_INIT_51 ),
1027
    .INIT_34 ( SRAM3_INIT_52 ),
1028
    .INIT_35 ( SRAM3_INIT_53 ),
1029
    .INIT_36 ( SRAM3_INIT_54 ),
1030
    .INIT_37 ( SRAM3_INIT_55 ),
1031
    .INIT_38 ( SRAM3_INIT_56 ),
1032
    .INIT_39 ( SRAM3_INIT_57 ),
1033
    .INIT_3A ( SRAM3_INIT_58 ),
1034
    .INIT_3B ( SRAM3_INIT_59 ),
1035
    .INIT_3C ( SRAM3_INIT_60 ),
1036
    .INIT_3D ( SRAM3_INIT_61 ),
1037
    .INIT_3E ( SRAM3_INIT_62 ),
1038
    .INIT_3F ( SRAM3_INIT_63 ),
1039
 
1040
    .INIT_FILE              ( "NONE"            ),
1041
    .RSTTYPE                ( "SYNC"            ),
1042
    .RST_PRIORITY_A         ( "CE"              ),
1043
    .RST_PRIORITY_B         ( "CE"              ),
1044
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
1045
    .SIM_DEVICE             ( "SPARTAN6"        ),
1046
    .INIT_A                 ( 36'h000000000     ),
1047
    .INIT_B                 ( 36'h000000000     ),
1048
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
1049
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
1050
    .SRVAL_B                ( 36'h000000000     ))
1051
  u_sram3  (
1052
    .REGCEA ( 1'd0                              ),
1053
    .CLKA   ( i_clk                             ),
1054
    .ENB    ( 1'd0                              ),
1055
    .RSTB   ( 1'd0                              ),
1056
    .CLKB   ( 1'd0                              ),
1057
    .REGCEB ( 1'd0                              ),
1058
    .RSTA   ( 1'd0                              ),
1059
    .ENA    ( 1'd1                              ),
1060
    .DIPA   ( 4'd0                              ),
1061
    .WEA    ( wea_b0[15:12]                     ),
1062
    .DOA    ( read_data_b0[127:96]              ),
1063
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
1064
    .ADDRB  ( 14'd0                             ),
1065
    .DIB    ( 32'd0                             ),
1066
    .DOPA   (                                   ),
1067
    .DIPB   ( 4'd0                              ),
1068
    .DOPB   (                                   ),
1069
    .DOB    (                                   ),
1070
    .WEB    ( 4'd0                              ),
1071
    .DIA    ( i_write_data[127:96]              )
1072
  );
1073
 
1074
 
1075
// -----------------------------------------
1076
// Bank 1 - second 8kb block  
1077
// -----------------------------------------
1078
  RAMB16BWER #(
1079
    .DATA_WIDTH_A ( 36              ),
1080
    .DATA_WIDTH_B ( 36              ),
1081
    .DOA_REG      ( 0               ),
1082
    .DOB_REG      ( 0               ),
1083
    .EN_RSTRAM_A  ( "FALSE"         ),
1084
    .EN_RSTRAM_B  ( "FALSE"         ),
1085
    .SRVAL_A      ( 36'h000000000   ),
1086
    .INITP_00     ( 256'h0          ),
1087
    .INITP_01     ( 256'h0          ),
1088
    .INITP_02     ( 256'h0          ),
1089
    .INITP_03     ( 256'h0          ),
1090
    .INITP_04     ( 256'h0          ),
1091
    .INITP_05     ( 256'h0          ),
1092
    .INITP_06     ( 256'h0          ),
1093
    .INITP_07     ( 256'h0          ),
1094
 
1095
    .INIT_00 ( SRAM4_INIT_0  ),
1096
    .INIT_01 ( SRAM4_INIT_1  ),
1097
    .INIT_02 ( SRAM4_INIT_2  ),
1098
    .INIT_03 ( SRAM4_INIT_3  ),
1099
    .INIT_04 ( SRAM4_INIT_4  ),
1100
    .INIT_05 ( SRAM4_INIT_5  ),
1101
    .INIT_06 ( SRAM4_INIT_6  ),
1102
    .INIT_07 ( SRAM4_INIT_7  ),
1103
    .INIT_08 ( SRAM4_INIT_8  ),
1104
    .INIT_09 ( SRAM4_INIT_9  ),
1105
    .INIT_0A ( SRAM4_INIT_10 ),
1106
    .INIT_0B ( SRAM4_INIT_11 ),
1107
    .INIT_0C ( SRAM4_INIT_12 ),
1108
    .INIT_0D ( SRAM4_INIT_13 ),
1109
    .INIT_0E ( SRAM4_INIT_14 ),
1110
    .INIT_0F ( SRAM4_INIT_15 ),
1111
    .INIT_10 ( SRAM4_INIT_16 ),
1112
    .INIT_11 ( SRAM4_INIT_17 ),
1113
    .INIT_12 ( SRAM4_INIT_18 ),
1114
    .INIT_13 ( SRAM4_INIT_19 ),
1115
    .INIT_14 ( SRAM4_INIT_20 ),
1116
    .INIT_15 ( SRAM4_INIT_21 ),
1117
    .INIT_16 ( SRAM4_INIT_22 ),
1118
    .INIT_17 ( SRAM4_INIT_23 ),
1119
    .INIT_18 ( SRAM4_INIT_24 ),
1120
    .INIT_19 ( SRAM4_INIT_25 ),
1121
    .INIT_1A ( SRAM4_INIT_26 ),
1122
    .INIT_1B ( SRAM4_INIT_27 ),
1123
    .INIT_1C ( SRAM4_INIT_28 ),
1124
    .INIT_1D ( SRAM4_INIT_29 ),
1125
    .INIT_1E ( SRAM4_INIT_30 ),
1126
    .INIT_1F ( SRAM4_INIT_31 ),
1127
    .INIT_20 ( SRAM4_INIT_32 ),
1128
    .INIT_21 ( SRAM4_INIT_33 ),
1129
    .INIT_22 ( SRAM4_INIT_34 ),
1130
    .INIT_23 ( SRAM4_INIT_35 ),
1131
    .INIT_24 ( SRAM4_INIT_36 ),
1132
    .INIT_25 ( SRAM4_INIT_37 ),
1133
    .INIT_26 ( SRAM4_INIT_38 ),
1134
    .INIT_27 ( SRAM4_INIT_39 ),
1135
    .INIT_28 ( SRAM4_INIT_40 ),
1136
    .INIT_29 ( SRAM4_INIT_41 ),
1137
    .INIT_2A ( SRAM4_INIT_42 ),
1138
    .INIT_2B ( SRAM4_INIT_43 ),
1139
    .INIT_2C ( SRAM4_INIT_44 ),
1140
    .INIT_2D ( SRAM4_INIT_45 ),
1141
    .INIT_2E ( SRAM4_INIT_46 ),
1142
    .INIT_2F ( SRAM4_INIT_47 ),
1143
    .INIT_30 ( SRAM4_INIT_48 ),
1144
    .INIT_31 ( SRAM4_INIT_49 ),
1145
    .INIT_32 ( SRAM4_INIT_50 ),
1146
    .INIT_33 ( SRAM4_INIT_51 ),
1147
    .INIT_34 ( SRAM4_INIT_52 ),
1148
    .INIT_35 ( SRAM4_INIT_53 ),
1149
    .INIT_36 ( SRAM4_INIT_54 ),
1150
    .INIT_37 ( SRAM4_INIT_55 ),
1151
    .INIT_38 ( SRAM4_INIT_56 ),
1152
    .INIT_39 ( SRAM4_INIT_57 ),
1153
    .INIT_3A ( SRAM4_INIT_58 ),
1154
    .INIT_3B ( SRAM4_INIT_59 ),
1155
    .INIT_3C ( SRAM4_INIT_60 ),
1156
    .INIT_3D ( SRAM4_INIT_61 ),
1157
    .INIT_3E ( SRAM4_INIT_62 ),
1158
    .INIT_3F ( SRAM4_INIT_63 ),
1159
 
1160
    .INIT_FILE              ( "NONE"            ),
1161
    .RSTTYPE                ( "SYNC"            ),
1162
    .RST_PRIORITY_A         ( "CE"              ),
1163
    .RST_PRIORITY_B         ( "CE"              ),
1164
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
1165
    .SIM_DEVICE             ( "SPARTAN6"        ),
1166
    .INIT_A                 ( 36'h000000000     ),
1167
    .INIT_B                 ( 36'h000000000     ),
1168
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
1169
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
1170
    .SRVAL_B                ( 36'h000000000     ))
1171
  u_sram4 (
1172
    .REGCEA ( 1'd0                              ),
1173
    .CLKA   ( i_clk                             ),
1174
    .ENB    ( 1'd0                              ),
1175
    .RSTB   ( 1'd0                              ),
1176
    .CLKB   ( 1'd0                              ),
1177
    .REGCEB ( 1'd0                              ),
1178
    .RSTA   ( 1'd0                              ),
1179
    .ENA    ( 1'd1                              ),
1180
    .DIPA   ( 4'd0                              ),
1181
    .WEA    ( wea_b1[3:0]                       ),
1182
    .DOA    ( read_data_b1[31:0]                ),
1183
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
1184
    .ADDRB  ( 14'd0                             ),
1185
    .DIB    ( 32'd0                             ),
1186
    .DOPA   (                                   ),
1187
    .DIPB   ( 4'd0                              ),
1188
    .DOPB   (                                   ),
1189
    .DOB    (                                   ),
1190
    .WEB    ( 4'd0                              ),
1191
    .DIA    ( i_write_data[31:0]                )
1192
  );
1193
 
1194
 
1195
 
1196
  RAMB16BWER #(
1197
    .DATA_WIDTH_A   ( 36            ),
1198
    .DATA_WIDTH_B   ( 36            ),
1199
    .DOA_REG        ( 0             ),
1200
    .DOB_REG        ( 0             ),
1201
    .EN_RSTRAM_A    ( "FALSE"       ),
1202
    .EN_RSTRAM_B    ( "FALSE"       ),
1203
    .SRVAL_A        ( 36'h000000000 ),
1204
    .INITP_00       ( 256'h0        ),
1205
    .INITP_01       ( 256'h0        ),
1206
    .INITP_02       ( 256'h0        ),
1207
    .INITP_03       ( 256'h0        ),
1208
    .INITP_04       ( 256'h0        ),
1209
    .INITP_05       ( 256'h0        ),
1210
    .INITP_06       ( 256'h0        ),
1211
    .INITP_07       ( 256'h0        ),
1212
 
1213
    .INIT_00 ( SRAM5_INIT_0  ),
1214
    .INIT_01 ( SRAM5_INIT_1  ),
1215
    .INIT_02 ( SRAM5_INIT_2  ),
1216
    .INIT_03 ( SRAM5_INIT_3  ),
1217
    .INIT_04 ( SRAM5_INIT_4  ),
1218
    .INIT_05 ( SRAM5_INIT_5  ),
1219
    .INIT_06 ( SRAM5_INIT_6  ),
1220
    .INIT_07 ( SRAM5_INIT_7  ),
1221
    .INIT_08 ( SRAM5_INIT_8  ),
1222
    .INIT_09 ( SRAM5_INIT_9  ),
1223
    .INIT_0A ( SRAM5_INIT_10 ),
1224
    .INIT_0B ( SRAM5_INIT_11 ),
1225
    .INIT_0C ( SRAM5_INIT_12 ),
1226
    .INIT_0D ( SRAM5_INIT_13 ),
1227
    .INIT_0E ( SRAM5_INIT_14 ),
1228
    .INIT_0F ( SRAM5_INIT_15 ),
1229
    .INIT_10 ( SRAM5_INIT_16 ),
1230
    .INIT_11 ( SRAM5_INIT_17 ),
1231
    .INIT_12 ( SRAM5_INIT_18 ),
1232
    .INIT_13 ( SRAM5_INIT_19 ),
1233
    .INIT_14 ( SRAM5_INIT_20 ),
1234
    .INIT_15 ( SRAM5_INIT_21 ),
1235
    .INIT_16 ( SRAM5_INIT_22 ),
1236
    .INIT_17 ( SRAM5_INIT_23 ),
1237
    .INIT_18 ( SRAM5_INIT_24 ),
1238
    .INIT_19 ( SRAM5_INIT_25 ),
1239
    .INIT_1A ( SRAM5_INIT_26 ),
1240
    .INIT_1B ( SRAM5_INIT_27 ),
1241
    .INIT_1C ( SRAM5_INIT_28 ),
1242
    .INIT_1D ( SRAM5_INIT_29 ),
1243
    .INIT_1E ( SRAM5_INIT_30 ),
1244
    .INIT_1F ( SRAM5_INIT_31 ),
1245
    .INIT_20 ( SRAM5_INIT_32 ),
1246
    .INIT_21 ( SRAM5_INIT_33 ),
1247
    .INIT_22 ( SRAM5_INIT_34 ),
1248
    .INIT_23 ( SRAM5_INIT_35 ),
1249
    .INIT_24 ( SRAM5_INIT_36 ),
1250
    .INIT_25 ( SRAM5_INIT_37 ),
1251
    .INIT_26 ( SRAM5_INIT_38 ),
1252
    .INIT_27 ( SRAM5_INIT_39 ),
1253
    .INIT_28 ( SRAM5_INIT_40 ),
1254
    .INIT_29 ( SRAM5_INIT_41 ),
1255
    .INIT_2A ( SRAM5_INIT_42 ),
1256
    .INIT_2B ( SRAM5_INIT_43 ),
1257
    .INIT_2C ( SRAM5_INIT_44 ),
1258
    .INIT_2D ( SRAM5_INIT_45 ),
1259
    .INIT_2E ( SRAM5_INIT_46 ),
1260
    .INIT_2F ( SRAM5_INIT_47 ),
1261
    .INIT_30 ( SRAM5_INIT_48 ),
1262
    .INIT_31 ( SRAM5_INIT_49 ),
1263
    .INIT_32 ( SRAM5_INIT_50 ),
1264
    .INIT_33 ( SRAM5_INIT_51 ),
1265
    .INIT_34 ( SRAM5_INIT_52 ),
1266
    .INIT_35 ( SRAM5_INIT_53 ),
1267
    .INIT_36 ( SRAM5_INIT_54 ),
1268
    .INIT_37 ( SRAM5_INIT_55 ),
1269
    .INIT_38 ( SRAM5_INIT_56 ),
1270
    .INIT_39 ( SRAM5_INIT_57 ),
1271
    .INIT_3A ( SRAM5_INIT_58 ),
1272
    .INIT_3B ( SRAM5_INIT_59 ),
1273
    .INIT_3C ( SRAM5_INIT_60 ),
1274
    .INIT_3D ( SRAM5_INIT_61 ),
1275
    .INIT_3E ( SRAM5_INIT_62 ),
1276
    .INIT_3F ( SRAM5_INIT_63 ),
1277
 
1278
    .INIT_FILE              ( "NONE"            ),
1279
    .RSTTYPE                ( "SYNC"            ),
1280
    .RST_PRIORITY_A         ( "CE"              ),
1281
    .RST_PRIORITY_B         ( "CE"              ),
1282
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
1283
    .SIM_DEVICE             ( "SPARTAN6"        ),
1284
    .INIT_A                 ( 36'h000000000     ),
1285
    .INIT_B                 ( 36'h000000000     ),
1286
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
1287
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
1288
    .SRVAL_B                ( 36'h000000000     ))
1289
  u_sram5  (
1290
    .REGCEA ( 1'd0                              ),
1291
    .CLKA   ( i_clk                             ),
1292
    .ENB    ( 1'd0                              ),
1293
    .RSTB   ( 1'd0                              ),
1294
    .CLKB   ( 1'd0                              ),
1295
    .REGCEB ( 1'd0                              ),
1296
    .RSTA   ( 1'd0                              ),
1297
    .ENA    ( 1'd1                              ),
1298
    .DIPA   ( 4'd0                              ),
1299
    .WEA    ( wea_b1[7:4]                       ),
1300
    .DOA    ( read_data_b1[63:32]               ),
1301
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
1302
    .ADDRB  ( 14'd0                             ),
1303
    .DIB    ( 32'd0                             ),
1304
    .DOPA   (                                   ),
1305
    .DIPB   ( 4'd0                              ),
1306
    .DOPB   (                                   ),
1307
    .DOB    (                                   ),
1308
    .WEB    ( 4'd0                              ),
1309
    .DIA    ( i_write_data[63:32]               )
1310
  );
1311
 
1312
 
1313
  RAMB16BWER #(
1314
    .DATA_WIDTH_A   ( 36            ),
1315
    .DATA_WIDTH_B   ( 36            ),
1316
    .DOA_REG        ( 0             ),
1317
    .DOB_REG        ( 0             ),
1318
    .EN_RSTRAM_A    ( "FALSE"       ),
1319
    .EN_RSTRAM_B    ( "FALSE"       ),
1320
    .SRVAL_A        ( 36'h000000000 ),
1321
    .INITP_00       ( 256'h0        ),
1322
    .INITP_01       ( 256'h0        ),
1323
    .INITP_02       ( 256'h0        ),
1324
    .INITP_03       ( 256'h0        ),
1325
    .INITP_04       ( 256'h0        ),
1326
    .INITP_05       ( 256'h0        ),
1327
    .INITP_06       ( 256'h0        ),
1328
    .INITP_07       ( 256'h0        ),
1329
 
1330
    .INIT_00 ( SRAM6_INIT_0  ),
1331
    .INIT_01 ( SRAM6_INIT_1  ),
1332
    .INIT_02 ( SRAM6_INIT_2  ),
1333
    .INIT_03 ( SRAM6_INIT_3  ),
1334
    .INIT_04 ( SRAM6_INIT_4  ),
1335
    .INIT_05 ( SRAM6_INIT_5  ),
1336
    .INIT_06 ( SRAM6_INIT_6  ),
1337
    .INIT_07 ( SRAM6_INIT_7  ),
1338
    .INIT_08 ( SRAM6_INIT_8  ),
1339
    .INIT_09 ( SRAM6_INIT_9  ),
1340
    .INIT_0A ( SRAM6_INIT_10 ),
1341
    .INIT_0B ( SRAM6_INIT_11 ),
1342
    .INIT_0C ( SRAM6_INIT_12 ),
1343
    .INIT_0D ( SRAM6_INIT_13 ),
1344
    .INIT_0E ( SRAM6_INIT_14 ),
1345
    .INIT_0F ( SRAM6_INIT_15 ),
1346
    .INIT_10 ( SRAM6_INIT_16 ),
1347
    .INIT_11 ( SRAM6_INIT_17 ),
1348
    .INIT_12 ( SRAM6_INIT_18 ),
1349
    .INIT_13 ( SRAM6_INIT_19 ),
1350
    .INIT_14 ( SRAM6_INIT_20 ),
1351
    .INIT_15 ( SRAM6_INIT_21 ),
1352
    .INIT_16 ( SRAM6_INIT_22 ),
1353
    .INIT_17 ( SRAM6_INIT_23 ),
1354
    .INIT_18 ( SRAM6_INIT_24 ),
1355
    .INIT_19 ( SRAM6_INIT_25 ),
1356
    .INIT_1A ( SRAM6_INIT_26 ),
1357
    .INIT_1B ( SRAM6_INIT_27 ),
1358
    .INIT_1C ( SRAM6_INIT_28 ),
1359
    .INIT_1D ( SRAM6_INIT_29 ),
1360
    .INIT_1E ( SRAM6_INIT_30 ),
1361
    .INIT_1F ( SRAM6_INIT_31 ),
1362
    .INIT_20 ( SRAM6_INIT_32 ),
1363
    .INIT_21 ( SRAM6_INIT_33 ),
1364
    .INIT_22 ( SRAM6_INIT_34 ),
1365
    .INIT_23 ( SRAM6_INIT_35 ),
1366
    .INIT_24 ( SRAM6_INIT_36 ),
1367
    .INIT_25 ( SRAM6_INIT_37 ),
1368
    .INIT_26 ( SRAM6_INIT_38 ),
1369
    .INIT_27 ( SRAM6_INIT_39 ),
1370
    .INIT_28 ( SRAM6_INIT_40 ),
1371
    .INIT_29 ( SRAM6_INIT_41 ),
1372
    .INIT_2A ( SRAM6_INIT_42 ),
1373
    .INIT_2B ( SRAM6_INIT_43 ),
1374
    .INIT_2C ( SRAM6_INIT_44 ),
1375
    .INIT_2D ( SRAM6_INIT_45 ),
1376
    .INIT_2E ( SRAM6_INIT_46 ),
1377
    .INIT_2F ( SRAM6_INIT_47 ),
1378
    .INIT_30 ( SRAM6_INIT_48 ),
1379
    .INIT_31 ( SRAM6_INIT_49 ),
1380
    .INIT_32 ( SRAM6_INIT_50 ),
1381
    .INIT_33 ( SRAM6_INIT_51 ),
1382
    .INIT_34 ( SRAM6_INIT_52 ),
1383
    .INIT_35 ( SRAM6_INIT_53 ),
1384
    .INIT_36 ( SRAM6_INIT_54 ),
1385
    .INIT_37 ( SRAM6_INIT_55 ),
1386
    .INIT_38 ( SRAM6_INIT_56 ),
1387
    .INIT_39 ( SRAM6_INIT_57 ),
1388
    .INIT_3A ( SRAM6_INIT_58 ),
1389
    .INIT_3B ( SRAM6_INIT_59 ),
1390
    .INIT_3C ( SRAM6_INIT_60 ),
1391
    .INIT_3D ( SRAM6_INIT_61 ),
1392
    .INIT_3E ( SRAM6_INIT_62 ),
1393
    .INIT_3F ( SRAM6_INIT_63 ),
1394
 
1395
    .INIT_FILE              ( "NONE"            ),
1396
    .RSTTYPE                ( "SYNC"            ),
1397
    .RST_PRIORITY_A         ( "CE"              ),
1398
    .RST_PRIORITY_B         ( "CE"              ),
1399
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
1400
    .SIM_DEVICE             ( "SPARTAN6"        ),
1401
    .INIT_A                 ( 36'h000000000     ),
1402
    .INIT_B                 ( 36'h000000000     ),
1403
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
1404
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
1405
    .SRVAL_B                ( 36'h000000000     ))
1406
  u_sram6 (
1407
    .REGCEA ( 1'd0                              ),
1408
    .CLKA   ( i_clk                             ),
1409
    .ENB    ( 1'd0                              ),
1410
    .RSTB   ( 1'd0                              ),
1411
    .CLKB   ( 1'd0                              ),
1412
    .REGCEB ( 1'd0                              ),
1413
    .RSTA   ( 1'd0                              ),
1414
    .ENA    ( 1'd1                              ),
1415
    .DIPA   ( 4'd0                              ),
1416
    .WEA    ( wea_b1[11:8]                      ),
1417
    .DOA    ( read_data_b1[95:64]               ),
1418
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
1419
    .ADDRB  ( 14'd0                             ),
1420
    .DIB    ( 32'd0                             ),
1421
    .DOPA   (                                   ),
1422
    .DIPB   ( 4'd0                              ),
1423
    .DOPB   (                                   ),
1424
    .DOB    (                                   ),
1425
    .WEB    ( 4'd0                              ),
1426
    .DIA    ( i_write_data[95:64]               )
1427
  );
1428
 
1429
 
1430
 
1431
  RAMB16BWER #(
1432
    .DATA_WIDTH_A   ( 36            ),
1433
    .DATA_WIDTH_B   ( 36            ),
1434
    .DOA_REG        ( 0             ),
1435
    .DOB_REG        ( 0             ),
1436
    .EN_RSTRAM_A    ( "FALSE"       ),
1437
    .EN_RSTRAM_B    ( "FALSE"       ),
1438
    .SRVAL_A        ( 36'h000000000 ),
1439
    .INITP_00       ( 256'h0        ),
1440
    .INITP_01       ( 256'h0        ),
1441
    .INITP_02       ( 256'h0        ),
1442
    .INITP_03       ( 256'h0        ),
1443
    .INITP_04       ( 256'h0        ),
1444
    .INITP_05       ( 256'h0        ),
1445
    .INITP_06       ( 256'h0        ),
1446
    .INITP_07       ( 256'h0        ),
1447
 
1448
    .INIT_00 ( SRAM7_INIT_0  ),
1449
    .INIT_01 ( SRAM7_INIT_1  ),
1450
    .INIT_02 ( SRAM7_INIT_2  ),
1451
    .INIT_03 ( SRAM7_INIT_3  ),
1452
    .INIT_04 ( SRAM7_INIT_4  ),
1453
    .INIT_05 ( SRAM7_INIT_5  ),
1454
    .INIT_06 ( SRAM7_INIT_6  ),
1455
    .INIT_07 ( SRAM7_INIT_7  ),
1456
    .INIT_08 ( SRAM7_INIT_8  ),
1457
    .INIT_09 ( SRAM7_INIT_9  ),
1458
    .INIT_0A ( SRAM7_INIT_10 ),
1459
    .INIT_0B ( SRAM7_INIT_11 ),
1460
    .INIT_0C ( SRAM7_INIT_12 ),
1461
    .INIT_0D ( SRAM7_INIT_13 ),
1462
    .INIT_0E ( SRAM7_INIT_14 ),
1463
    .INIT_0F ( SRAM7_INIT_15 ),
1464
    .INIT_10 ( SRAM7_INIT_16 ),
1465
    .INIT_11 ( SRAM7_INIT_17 ),
1466
    .INIT_12 ( SRAM7_INIT_18 ),
1467
    .INIT_13 ( SRAM7_INIT_19 ),
1468
    .INIT_14 ( SRAM7_INIT_20 ),
1469
    .INIT_15 ( SRAM7_INIT_21 ),
1470
    .INIT_16 ( SRAM7_INIT_22 ),
1471
    .INIT_17 ( SRAM7_INIT_23 ),
1472
    .INIT_18 ( SRAM7_INIT_24 ),
1473
    .INIT_19 ( SRAM7_INIT_25 ),
1474
    .INIT_1A ( SRAM7_INIT_26 ),
1475
    .INIT_1B ( SRAM7_INIT_27 ),
1476
    .INIT_1C ( SRAM7_INIT_28 ),
1477
    .INIT_1D ( SRAM7_INIT_29 ),
1478
    .INIT_1E ( SRAM7_INIT_30 ),
1479
    .INIT_1F ( SRAM7_INIT_31 ),
1480
    .INIT_20 ( SRAM7_INIT_32 ),
1481
    .INIT_21 ( SRAM7_INIT_33 ),
1482
    .INIT_22 ( SRAM7_INIT_34 ),
1483
    .INIT_23 ( SRAM7_INIT_35 ),
1484
    .INIT_24 ( SRAM7_INIT_36 ),
1485
    .INIT_25 ( SRAM7_INIT_37 ),
1486
    .INIT_26 ( SRAM7_INIT_38 ),
1487
    .INIT_27 ( SRAM7_INIT_39 ),
1488
    .INIT_28 ( SRAM7_INIT_40 ),
1489
    .INIT_29 ( SRAM7_INIT_41 ),
1490
    .INIT_2A ( SRAM7_INIT_42 ),
1491
    .INIT_2B ( SRAM7_INIT_43 ),
1492
    .INIT_2C ( SRAM7_INIT_44 ),
1493
    .INIT_2D ( SRAM7_INIT_45 ),
1494
    .INIT_2E ( SRAM7_INIT_46 ),
1495
    .INIT_2F ( SRAM7_INIT_47 ),
1496
    .INIT_30 ( SRAM7_INIT_48 ),
1497
    .INIT_31 ( SRAM7_INIT_49 ),
1498
    .INIT_32 ( SRAM7_INIT_50 ),
1499
    .INIT_33 ( SRAM7_INIT_51 ),
1500
    .INIT_34 ( SRAM7_INIT_52 ),
1501
    .INIT_35 ( SRAM7_INIT_53 ),
1502
    .INIT_36 ( SRAM7_INIT_54 ),
1503
    .INIT_37 ( SRAM7_INIT_55 ),
1504
    .INIT_38 ( SRAM7_INIT_56 ),
1505
    .INIT_39 ( SRAM7_INIT_57 ),
1506
    .INIT_3A ( SRAM7_INIT_58 ),
1507
    .INIT_3B ( SRAM7_INIT_59 ),
1508
    .INIT_3C ( SRAM7_INIT_60 ),
1509
    .INIT_3D ( SRAM7_INIT_61 ),
1510
    .INIT_3E ( SRAM7_INIT_62 ),
1511
    .INIT_3F ( SRAM7_INIT_63 ),
1512
 
1513
    .INIT_FILE              ( "NONE"            ),
1514
    .RSTTYPE                ( "SYNC"            ),
1515
    .RST_PRIORITY_A         ( "CE"              ),
1516
    .RST_PRIORITY_B         ( "CE"              ),
1517
    .SIM_COLLISION_CHECK    ( "GENERATE_X_ONLY" ),
1518
    .SIM_DEVICE             ( "SPARTAN6"        ),
1519
    .INIT_A                 ( 36'h000000000     ),
1520
    .INIT_B                 ( 36'h000000000     ),
1521
    .WRITE_MODE_A           ( "WRITE_FIRST"     ),
1522
    .WRITE_MODE_B           ( "WRITE_FIRST"     ),
1523
    .SRVAL_B                ( 36'h000000000     ))
1524
  u_sram7  (
1525
    .REGCEA ( 1'd0                              ),
1526
    .CLKA   ( i_clk                             ),
1527
    .ENB    ( 1'd0                              ),
1528
    .RSTB   ( 1'd0                              ),
1529
    .CLKB   ( 1'd0                              ),
1530
    .REGCEB ( 1'd0                              ),
1531
    .RSTA   ( 1'd0                              ),
1532
    .ENA    ( 1'd1                              ),
1533
    .DIPA   ( 4'd0                              ),
1534
    .WEA    ( wea_b1[15:12]                     ),
1535
    .DOA    ( read_data_b1[127:96]              ),
1536
    .ADDRA  ( {i_address[8:0], 5'd0}            ),
1537
    .ADDRB  ( 14'd0                             ),
1538
    .DIB    ( 32'd0                             ),
1539
    .DOPA   (                                   ),
1540
    .DIPB   ( 4'd0                              ),
1541
    .DOPB   (                                   ),
1542
    .DOB    (                                   ),
1543
    .WEB    ( 4'd0                              ),
1544
    .DIA    ( i_write_data[127:96]              )
1545
  );
1546
 
1547
 
1548
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.