OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [perl/] [verilog_gen.pl] - Blame information for rev 28

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 alirezamon
use Glib qw/TRUE FALSE/;
2
#use Gtk2 '-init';
3
 
4
use lib 'lib/perl';
5
 
6
use strict;
7
use warnings;
8
use soc;
9
use ip;
10
use ip_gen;
11
use Cwd;
12
 
13
 
14
 
15
 
16
 
17
######################
18
#   soc_generate_verilog
19
#####################
20
 
21
sub soc_generate_verilog{
22
        my ($soc)= @_;
23 25 alirezamon
        my $soc_name=$soc->object_get_attribute('soc_name');
24 16 alirezamon
        #my $top_ip=ip_gen->ip_gen_new();
25
        my $top_ip=ip_gen->top_gen_new();
26
        if(!defined $soc_name){$soc_name='soc'};
27
 
28
        my @instances=$soc->soc_get_all_instances();
29
        my $io_sim_v;
30 28 alirezamon
        my $core_id= $soc->object_get_attribute('global_param','CORE_ID');
31
        $core_id= 0 if(!defined $core_id);
32
        my $param_as_in_v="\tparameter\tCORE_ID=$core_id";
33
 
34
 
35
 
36
 
37 25 alirezamon
        my $param_pass_v="\t.CORE_ID(CORE_ID)";
38 16 alirezamon
        my $body_v;
39
 
40
        my ($param_v_all, $local_param_v_all, $wire_def_v_all, $inst_v_all, $plugs_assign_v_all, $sockets_assign_v_all,$io_full_v_all);
41 17 alirezamon
        my $wires=soc->new_wires();
42
        my $intfc=interface->interface_new();
43 25 alirezamon
 
44 16 alirezamon
        foreach my $id (@instances){
45 25 alirezamon
                my ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v)=gen_module_inst($id,$soc,\$io_sim_v,\$param_as_in_v,$top_ip,$intfc,$wires,\$param_pass_v);
46 16 alirezamon
                my $inst        = $soc->soc_get_instance_name($id);
47
                add_text_to_string(\$body_v,"/*******************\n*\n*\t$inst\n*\n*\n*********************/\n");
48
 
49
                add_text_to_string(\$local_param_v_all,"$local_param_v\n")      if(defined($local_param_v));
50
                add_text_to_string(\$wire_def_v_all,"$wire_def_v\n")                    if(defined($wire_def_v));
51
                add_text_to_string(\$inst_v_all,$inst_v)                                                if(defined($inst_v));
52
                add_text_to_string(\$plugs_assign_v_all,"$plugs_assign_v\n")    if(defined($plugs_assign_v));
53
                add_text_to_string(\$sockets_assign_v_all,"$sockets_assign_v\n")if(defined($sockets_assign_v));
54
                add_text_to_string(\$io_full_v_all,"$io_full_v\n")                              if(defined($io_full_v));
55
 
56
                #print  "$param_v $local_param_v $wire_def_v $inst_v $plugs_assign_v $sockets_assign_v $io_full_v";
57
 
58
        }
59 17 alirezamon
        my ($addr_map,$addr_localparam,$module_addr_localparam)= generate_address_cmp($soc,$wires);
60 16 alirezamon
 
61
        #add functions
62
        my $dir = Cwd::getcwd();
63
        open my $file1, "<", "$dir/lib/verilog/functions.v" or die;
64
        my $functions_all='';
65
        while (my $f1 = readline ($file1)) {
66
                 $functions_all="$functions_all $f1 ";
67
        }
68
        close($file1);
69 17 alirezamon
        my $unused_wiers_v=assign_unconnected_wires($wires,$intfc);
70
 
71 16 alirezamon
 
72
        my $soc_v = (defined $param_as_in_v )? "module $soc_name #(\n $param_as_in_v\n)(\n$io_sim_v\n);\n": "module $soc_name (\n$io_sim_v\n);\n";
73
        add_text_to_string(\$soc_v,$functions_all);
74
        add_text_to_string(\$soc_v,$local_param_v_all);
75
        add_text_to_string(\$soc_v,$addr_localparam);
76
        add_text_to_string(\$soc_v,$module_addr_localparam);
77
        add_text_to_string(\$soc_v,$io_full_v_all);
78
        add_text_to_string(\$soc_v,$wire_def_v_all);
79 17 alirezamon
        add_text_to_string(\$soc_v,$unused_wiers_v);
80 16 alirezamon
        add_text_to_string(\$soc_v,$inst_v_all);
81
        add_text_to_string(\$soc_v,$plugs_assign_v_all);
82
        add_text_to_string(\$soc_v,$sockets_assign_v_all);
83
        add_text_to_string(\$soc_v,$addr_map);
84
        add_text_to_string(\$soc_v,"endmodule\n\n");
85
 
86
 
87
        $soc->soc_add_top($top_ip);
88 17 alirezamon
        #print @assigned_wires;
89 16 alirezamon
 
90 25 alirezamon
        #generate topmodule
91
 
92
        my $top_v = (defined $param_as_in_v )? "module ${soc_name}_top #(\n $param_as_in_v\n)(\n$io_sim_v\n);\n": "module ${soc_name}_top (\n $io_sim_v\n);\n";
93
        my $ins= gen_soc_instance_v($soc,$soc_name,$param_pass_v);
94 16 alirezamon
 
95 25 alirezamon
        add_text_to_string(\$top_v,$local_param_v_all."\n".$io_full_v_all);
96
        add_text_to_string(\$top_v,$ins);
97 28 alirezamon
        my ($readme,$prog)=gen_system_info($soc,$param_as_in_v);
98
        return ("$soc_v",$top_v,$readme,$prog);
99 25 alirezamon
 
100
 
101 16 alirezamon
}
102
 
103
#################
104
#       gen_module_inst
105
###############
106
 
107
sub gen_module_inst {
108 25 alirezamon
        my ($id,$soc,$io_sim_v,$param_as_in_v,$top_ip, $intfc,$wires,$param_pass_v)=@_;
109 16 alirezamon
        my $module      =$soc->soc_get_module($id);
110
        my $module_name =$soc->soc_get_module_name($id);
111
        my $category    =$soc->soc_get_category($id);
112
 
113
        my $inst        = $soc->soc_get_instance_name($id);
114
        my %params      = $soc->soc_get_module_param($id);
115 17 alirezamon
 
116 16 alirezamon
        my $ip = ip->lib_new ();
117
 
118
        my @ports=$ip->ip_list_ports($category,$module);
119 25 alirezamon
        my ($inst_v,$intfc_v,$plugs_assign_v,$sockets_assign_v,$io_full_v);
120
        my $wire_def_v="";
121 16 alirezamon
        $plugs_assign_v="\n";
122
 
123
        my $counter=0;
124
        my @param_order=$soc->soc_get_instance_param_order($id);
125
 
126 25 alirezamon
        my ($param_v,$local_param_v,$instance_param_v)= gen_parameter_v(\%params,$id,$inst,$category,$module,$ip,$param_as_in_v,\@param_order,$top_ip,$param_pass_v);
127 16 alirezamon
 
128
 
129 25 alirezamon
 
130 16 alirezamon
        $top_ip->top_add_def_to_instance($id,'module',$module);
131
        $top_ip->top_add_def_to_instance($id,'module_name',$module_name);
132
        $top_ip->top_add_def_to_instance($id,'category',$category);
133
        $top_ip->top_add_def_to_instance($id,'instance',$inst);
134
 
135
 
136
 
137
 
138
 
139
 
140
        #module name    
141 25 alirezamon
        $inst_v=( defined $instance_param_v )? "$module_name #(\n": $module_name ;
142 16 alirezamon
 
143
 
144
 
145
        #module parameters
146 25 alirezamon
        $inst_v=( defined $instance_param_v)? "$inst_v $instance_param_v\n\t)": $inst_v;
147 16 alirezamon
        #module instance name 
148
        $inst_v="$inst_v  $inst \t(\n";
149
 
150
        #module ports
151
        $counter=0;
152
        foreach my $port (@ports){
153
                my ($type,$range,$intfc_name,$i_port)=$ip->ip_get_port($category,$module,$port);
154
                my $assigned_port;
155
                my($i_type,$i_name,$i_num) =split("[:\[ \\]]", $intfc_name);
156
                my $IO='no';
157
                my $NC='no';
158
                if($i_type eq 'plug'){
159
                        my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
160
                        if($connect_id eq 'IO'){ $IO='yes';}
161
                        if($connect_id eq 'NC'){ $NC='yes';}
162
                }
163
                if($i_type eq 'socket' && $i_name ne'wb_addr_map'){
164
 
165
                        my ($ref1,$ref2)= $soc->soc_get_modules_plug_connected_to_socket($id,$i_name,$i_num);
166
                        my %connected_plugs=%$ref1;
167
                        my %connected_plug_nums=%$ref2;
168
                        if(!%connected_plugs ){
169
                                my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
170
                                my $v=$soc->soc_get_module_param_value($id,$s_value);
171
                                if ( length( $v || '' )){ $IO='no';} else {$IO='yes';}
172
                        }
173
                }
174
                if($NC eq 'yes'){
175
 
176
 
177
                }
178
                elsif($IO eq 'yes' || !defined $i_type || !defined $i_name || !defined $i_num){ #its an IO port
179 25 alirezamon
                        if($i_port eq 'NC'){
180
                                $NC='yes';
181
                        }else {
182
                                 $assigned_port="$inst\_$port";
183
                                 $$io_sim_v= (!defined $$io_sim_v)? "\t$assigned_port" : "$$io_sim_v, \n\t$assigned_port";
184
                                 my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
185
                                 my $port_def=(length ($range)>1 )?     "\t$type\t [ $new_range    ] $assigned_port;\n": "\t$type\t\t\t$assigned_port;\n";
186
                                 add_text_to_string(\$io_full_v,$port_def);
187
                                # $top_ip->ipgen_add_port($assigned_port, $new_range, $type ,$intfc_name,$i_port);
188
                                $top_ip->top_add_port($id,$assigned_port, $new_range, $type ,$intfc_name,$i_port);
189
                        }
190 16 alirezamon
 
191
 
192
                }
193
                else{ # port connected internally using interface 
194
                         $assigned_port="$inst\_$i_type\_$i_name\_$i_num\_$i_port";
195
 
196
                         #create plug wires
197 25 alirezamon
                         my ($wire_string,$port_name)=generate_wire ($range,$assigned_port,$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
198
                         #add wire def if it is not defined before
199
 
200
                         add_text_to_string(\$wire_def_v,$wire_string)  if ($wire_def_v !~ /[\s\]]$port_name;/);
201 16 alirezamon
 
202
 
203
 
204
                        if($i_type eq 'plug'){
205
                                #read socket port name
206
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
207
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_plug($i_name,$i_port);
208
                                #my $connect_port= "socket_$i_name\_$i_num\_$i_connect";
209
                                if(defined $connect_socket_num){
210
                                        my $connect_n=$soc->soc_get_instance_name($connect_id);
211
                                        my $connect_port= "$connect_n\_socket_$i_name\_$connect_socket_num\_$i_connect";
212
                                        #connect plug port to socket port
213
                                        my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
214
                                        my $connect_port_range=(length($new_range)>1)?"$connect_port\[$new_range\]":$connect_port;
215 17 alirezamon
 
216
                                        if($type eq 'input' ){
217
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $assigned_port = $connect_port_range;\n";
218
                                                $wires->wire_add($assigned_port,"connected",1);
219
 
220
                                        }else{
221
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $connect_port  = $assigned_port;\n";
222
                                                $wires->wire_add($connect_port,"connected",1);
223
                                        }
224
 
225
 
226 16 alirezamon
                                }
227
                        }#plug
228
                        else{ #socket
229
                                my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
230
                                my $v=$soc->soc_get_module_param_value($id,$s_value);
231
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_socket($i_name,$i_port);
232
                                if ( length( $v || '' )) {
233
                                                $v--;
234
                                                my $name= $soc->soc_get_instance_name($id);
235
                                                my $joint= "$name\_$i_type\_$i_name\_$v\_$i_port";
236
 
237 25 alirezamon
                                                my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$v\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
238
                                                add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
239 16 alirezamon
 
240
                                                for(my $i=$v-1; $i>=0; $i--) {
241
                                                        $joint= "$joint ,$name\_$i_type\_$i_name\_$i\_$i_port";
242
                                                        #create socket wires
243
                                                         #create plug wires
244 25 alirezamon
                                                        my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$i\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
245
                                                        add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
246 16 alirezamon
 
247
 
248
 
249
 
250
 
251
 
252
                                                }
253 17 alirezamon
                                                $wires->wire_add($assigned_port,"connected",1)  if($type eq 'input');
254
                                                if($type ne 'input' ){
255
                                                        my @w=split('\s*,\s*',$joint);
256
                                                        foreach my $q (@w) {
257
                                                                $wires->wire_add($q,"connected",1);
258
                                                        }
259
 
260
                                                }
261
                                                $joint=($v>0)? "\{ $joint\ }" : "$joint";
262
                                                my $text=($type eq 'input' )? "\tassign $assigned_port = $joint;\n": "\tassign $joint = $assigned_port;\n";
263
 
264 16 alirezamon
                                                add_text_to_string(\$sockets_assign_v,$text);
265
                                }
266
 
267
 
268
 
269
                        }#socket        
270
 
271
 
272
                }
273
 
274
 
275
 
276 17 alirezamon
                if (++$counter == scalar(@ports)){#last port def
277 16 alirezamon
 
278
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port()\n": "$inst_v\t\t.$port($assigned_port)\n";
279
 
280
                }
281
                else {
282
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port(),\n":"$inst_v\t\t.$port($assigned_port),\n";
283
                }
284
 
285 17 alirezamon
                if($type ne 'input' && $NC ne 'yes' ){
286
                        $wires->wire_add($assigned_port,"connected",1);
287
 
288
                }
289 16 alirezamon
 
290
 
291
 
292
        }
293
        $inst_v="$inst_v\t);\n";
294
 
295 17 alirezamon
 
296
 
297
 
298 25 alirezamon
        return ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$param_pass_v);
299 16 alirezamon
 
300
 
301
}
302
 
303
 
304
sub add_instantc_name_to_parameters{
305
        my ($params_ref,$inst,$range)=@_;
306
        my $new_range=$range;
307
        #print "$new_range\n";
308
 
309
        my @list=sort keys%{$params_ref};
310
        foreach my $param (@list){
311
                my $new_param= "$inst\_$param";
312
                ($new_range=$new_range)=~ s/\b$param\b/$new_param/g;
313
                #print "$new_range= s/\b$param\b/$new_param/g\n";
314
        }
315
                return $new_range;
316
}
317
 
318
 
319
sub gen_parameter_v{
320 25 alirezamon
        my ($param_ref,$id,$inst,$category,$module,$ip,$param_as_in_v,$ref_ordered,$top_ip,$param_pass_v)=@_;
321 16 alirezamon
        my %params=%$param_ref;
322
        my @param_order;
323
        @param_order=@{$ref_ordered} if(defined $ref_ordered);
324
 
325
        my ($param_v,$local_param_v,$instance_param_v);
326
        my @list;
327
        @list= (@param_order)? @param_order :
328
sort keys%params;
329
        my $first_param=1;
330 25 alirezamon
 
331 16 alirezamon
        $local_param_v="";
332
        $param_v="";
333 25 alirezamon
 
334 16 alirezamon
        #add instance name to parameter value
335
        foreach my $param (@list){
336
                $params{$param}=add_instantc_name_to_parameters(\%params,$inst,$params{$param});
337
 
338
        }
339
 
340
 
341
        #print parameters
342
        foreach my $param (@list){
343
                my $inst_param= "$inst\_$param";
344 25 alirezamon
                my ($deafult,$type,$content,$info,$vfile_param_type,$redefine_param)= $ip->ip_get_parameter($category,$module,$param);
345
                $vfile_param_type= "Don't include" if (!defined $vfile_param_type );
346
                $vfile_param_type= "Parameter"  if ($vfile_param_type eq 1);
347
                $vfile_param_type= "Localparam" if ($vfile_param_type eq 0);
348
                $redefine_param=1 if (! defined $redefine_param);
349
                $redefine_param=0 if ($vfile_param_type eq "Don't include");
350
                if($redefine_param eq 1){
351
                        $instance_param_v=($first_param eq 1)? "\t\t.$param($inst_param)" : "$instance_param_v,\n\t\t.$param($inst_param)";
352
                        $first_param=0;
353 16 alirezamon
 
354
                }
355
 
356
 
357 25 alirezamon
 
358
                if($vfile_param_type eq "Localparam"){
359 16 alirezamon
                        $local_param_v="$local_param_v\tlocalparam\t$inst_param=$params{$param};\n";
360 25 alirezamon
                }
361
                elsif($vfile_param_type eq "Parameter"){
362 16 alirezamon
                        $param_v="$param_v\tparameter\t$inst_param=$params{$param};\n";
363 25 alirezamon
                        $$param_pass_v =(defined ($$param_pass_v ))? "$$param_pass_v,\n\t.$inst_param($inst_param)": "\t.$inst_param($inst_param)";
364 16 alirezamon
                        $$param_as_in_v=(defined ($$param_as_in_v))? "$$param_as_in_v ,\n\tparameter\t$inst_param=$params{$param}":
365
                                                                                                                 "   \tparameter\t$inst_param=$params{$param}";
366
                        #add parameter to top 
367
                        #$top_ip  $inst_param                   
368 25 alirezamon
                        $top_ip->top_add_parameter($id,$inst_param,$params{$param},$type,$content,$info,$vfile_param_type,$redefine_param);
369 16 alirezamon
 
370
                }
371
 
372
 
373
 
374
        }
375
 
376
        return ($param_v,$local_param_v,$instance_param_v);
377
 
378
 
379
}
380
 
381
###############
382
#       generate_address_cmp
383
##############
384
 
385
sub generate_address_cmp{
386 17 alirezamon
        my ($soc,$wires)=@_;
387 16 alirezamon
        my $number=0;
388
        my $addr_mp_v="\n//Wishbone slave address match\n";
389
        my $instance_addr_localparam="\n//Wishbone slave base address based on instance name\n";
390
        my $module_addr_localparam="\n//Wishbone slave base address based on module name. \n";
391
 
392
        my @all_instances=$soc->soc_get_all_instances();
393
        foreach my $instance_id (@all_instances){
394
                my $instance_name=$soc->soc_get_instance_name($instance_id);
395
                        my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
396
                        foreach my $plug (@plugs){
397 25 alirezamon
                                my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
398 16 alirezamon
                                foreach my $num (@nums){
399
                                        my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
400
                                        if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
401 25 alirezamon
                                                #read wishbone bus address and data width size                                          
402
                                                my $Aw=$soc->soc_get_module_param_value ($connect_id,'Aw');
403
                                                my $Dw=$soc->soc_get_module_param_value ($connect_id,'Dw');
404
                                                $Aw=32 if (!defined $Aw);
405
                                                $Dw=32 if (!defined $Dw);
406 16 alirezamon
                                                add_text_to_string(\$addr_mp_v,"/* $instance_name wb_slave $num */\n");
407 25 alirezamon
                                                #count how many nibbles we have in address size 
408
                                                my $hh= ($Aw % 4)? ($Aw >> 2)+1 : ($Aw >> 2);
409
                                                $hh= "'h%0${hh}x";#hex address nibble num
410
                                                #change addresses to word as the assign addresses by ProNoC GUI are in bytes  
411
                                                my $bytenum=($Dw/8);
412
                                                my $base_hex=$Aw.sprintf($hh, ($base/$bytenum));
413
                                                my $end_hex=$Aw.sprintf($hh, ($end/$bytenum));
414
                                                #my $base_hex=sprintf("32'h%08x", ($base>>2));
415
                                                #my $end_hex=sprintf("32'h%08x", ($end>>2));
416
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
417
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_END_ADDR\t=\t$end_hex;\n");
418 16 alirezamon
                                                if($instance_name ne $instance_id){
419 25 alirezamon
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
420
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_END_ADDR\t=\t$end_hex;\n");
421 16 alirezamon
                                                }
422
 
423 17 alirezamon
                                                my $connect_name=$soc->soc_get_instance_name($connect_id);
424
                                                $wires->wire_add("$connect_name\_socket_wb_addr_map_0_sel_one_hot","connected",1);
425 25 alirezamon
                                                $addr_mp_v="$addr_mp_v \tassign $connect_name\_socket_wb_addr_map_0_sel_one_hot[$connect_socket_num\] = (($connect_name\_socket_wb_addr_map_0_grant_addr >= $instance_name\_WB$num\_BASE_ADDR)   & ($connect_name\_socket_wb_addr_map_0_grant_addr <= $instance_name\_WB$num\_END_ADDR));\n";
426 16 alirezamon
 
427
                                                $number++;
428
                                        }#if
429
                                }#foreach my $num
430
                        }#foreach my $plug
431
                }#foreach my $instance_id
432
 
433
                add_text_to_string(\$instance_addr_localparam,"\n");
434
                add_text_to_string(\$module_addr_localparam,"\n");
435
                return ($addr_mp_v,$instance_addr_localparam,$module_addr_localparam);
436
}
437
 
438
 
439
 
440
 
441
 
442
 
443
 
444
 
445
 
446
sub add_text_to_string{
447
                my ($string,$text)=@_;
448
                if(defined $text){
449
                        $$string=(defined ($$string))? "$$string $text" : $text;
450
                }
451
}
452
 
453
 
454
 
455
sub generate_wire {
456 17 alirezamon
        my($range,$port_name,$inst_name,$params_ref,$i_type,$i_name,$i_num,$i_port, $wires)=@_;
457 16 alirezamon
        my $wire_string;
458 17 alirezamon
        my $new_range;
459 16 alirezamon
        if(length ($range)>1 ){
460
                #replace parameter in range
461 17 alirezamon
                $new_range = add_instantc_name_to_parameters($params_ref,$inst_name,$range);
462 16 alirezamon
                $wire_string= "\twire\t[ $new_range ] $port_name;\n";
463
        }
464
        else{
465
                $wire_string="\twire\t\t\t $port_name;\n";
466 17 alirezamon
        }
467
        $wires->wire_add("$port_name","range",$new_range);
468
        $wires->wire_add("$port_name","inst_name",$inst_name);
469
        $wires->wire_add("$port_name","i_type",$i_type);
470
        $wires->wire_add("$port_name","i_name",$i_name);
471
        $wires->wire_add("$port_name","i_num",$i_num);
472
        $wires->wire_add("$port_name","i_port",$i_port);
473
 
474 25 alirezamon
        return ($wire_string,$port_name);
475 16 alirezamon
}
476
 
477 17 alirezamon
sub port_width_repeat{
478
        my ($range,$value)=@_;
479 18 alirezamon
        return "$value" if (!defined $range);
480
        $range= remove_all_white_spaces($range);
481 17 alirezamon
        my ($h,$l)=split(':',$range);
482
        return "$value" if(!defined $h ) ; # port width is 1
483
        return "$value" if($h eq "0" && "$l" eq "0"); # port width is 1
484
        $h=$l if($h eq "0" && "$l" ne "0");
485
        if($h =~ /-1$/){ # the address ranged is endup with -1 
486
                $h =~ s/-1$//; # remove -1
487
                return "\{$h\{$value\}\}"  if($h =~ /\)$/);
488
                return "\{($h)\{$value\}\}" if($h =~ /[\*\.\+\-\^\%\&]/);
489
                return "\{$h\{$value\}\}";
490
        }
491
        return "\{($h+1){$value}}";
492
}
493 16 alirezamon
 
494 17 alirezamon
sub assign_unconnected_wires{
495
        my($wires,$intfc)=@_;
496
        my $unused_wire_v=undef;
497
 
498
        my @all_wires=$wires->wires_list();
499
        foreach my $p (@all_wires ){
500
                if(!defined $wires->wire_get($p,"connected")){ # unconnected wires
501
                        # Take default value from interface definition 
502
                        #$wires->wire_get("$p","inst_name");
503
                        my $i_type=$wires->wire_get($p,"i_type");
504
                        my $i_name= $wires->wire_get($p,"i_name");
505
                        my $i_num=$wires->wire_get($p,"i_num");
506
                        my $i_port=$wires->wire_get($p,"i_port");
507
                        my $new_range=$wires->wire_get($p,"range");
508
                        my ($range,$type,$connect,$default_out) = ($i_type eq "socket" )? $intfc->get_port_info_of_socket($i_name,$i_port):
509
                                                                                                                                                          $intfc->get_port_info_of_plug($i_name,$i_port);
510
                        #""Active high","Don't care"
511
 
512
                        my $default=(!defined $default_out                )? port_width_repeat($new_range,"1\'bx"):
513
                                                ($default_out eq 'Active low' )? port_width_repeat($new_range,"1\'b0"):
514
                                            ($default_out eq 'Active high')? port_width_repeat($new_range,"1\'b1"):
515
                                                ($default_out eq 'Don\'t care')? port_width_repeat($new_range,"1\'bx"): $default_out;
516
 
517
 
518 18 alirezamon
                        $unused_wire_v= (defined $unused_wire_v)? "$unused_wire_v \tassign ${p} = $default;\n" : "\tassign ${p} = $default;\n";
519 17 alirezamon
 
520
                }
521
 
522
        }
523
        $unused_wire_v="\n//Take the default value for ports that defined by interfaces but did not assigned to any wires.\n $unused_wire_v\n\n" if(defined $unused_wire_v);
524
        return $unused_wire_v;
525 16 alirezamon
 
526 17 alirezamon
 
527
}
528 16 alirezamon
 
529
 
530
 
531
 
532 25 alirezamon
sub gen_soc_instance_v{
533
        my ($soc,$soc_name,$param_pass_v)=@_;
534
        my $soc_v;
535
        my $processor_en=0;
536
 
537
        add_text_to_string(\$soc_v,"
538 16 alirezamon
 
539 25 alirezamon
// Allow software to remote reset/enable the cpu via jtag
540 16 alirezamon
 
541 25 alirezamon
        wire jtag_cpu_en, jtag_system_reset;
542 16 alirezamon
 
543 25 alirezamon
        jtag_system_en jtag_en (
544
                .cpu_en(jtag_cpu_en),
545
                .system_reset(jtag_system_reset)
546
 
547
        );
548
 
549
 
550 16 alirezamon
 
551 25 alirezamon
 
552
 
553
 
554
 
555
");
556
 
557
 
558
        my $mm="$soc_name #(\n $param_pass_v \n\t)the_${soc_name}(\n";
559
 
560
        my $top=$soc->soc_get_top();
561
        my @intfcs=$top->top_get_intfc_list();
562
 
563
        my $i=0;
564
 
565
 
566
 
567
 
568
        my $ss="";
569
        my $ww="";
570
 
571
foreach my $intfc (@intfcs){
572
 
573
 
574
 
575
                #reset
576
                if( $intfc eq 'plug:reset[0]'){
577
                        my @ports=$top->top_get_intfc_ports_list($intfc);
578
                        foreach my $p (@ports){
579
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
580
                                $mm="$mm," if ($i);
581
                                $mm="$mm\n\t\t.$p(${p}_ored_jtag)";
582
                                $ss="$ss\tassign ${p}_ored_jtag = (jtag_system_reset | $p);\n";
583
                                $ww="$ww\twire ${p}_ored_jtag;\n";
584
                                $i=1;
585
 
586
                        }
587
 
588
 
589
 
590
                }
591
                #enable
592
                elsif( $intfc eq 'plug:enable[0]'){
593
                        my @ports=$top->top_get_intfc_ports_list($intfc);
594
                        foreach my $p (@ports){
595
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
596
                                $mm="$mm," if ($i);
597
                                $mm="$mm\n\t\t.$p(${p}_anded_jtag)";
598
                                $ss="$ss\tassign ${p}_anded_jtag= (jtag_cpu_en & $p);\n";
599
                                $ww="$ww\twire ${p}_anded_jtag;\n";
600
                                $processor_en=1;
601
                                $i=1;
602
 
603
                        }
604
 
605
 
606
                }
607
                else {
608
                #other interface
609
                        my @ports=$top->top_get_intfc_ports_list($intfc);
610
                        foreach my $p (@ports){
611
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
612
                        $mm="$mm," if ($i);
613
                        $mm="$mm\n\t\t.$p($p)";
614
                        $i=1;
615
 
616
                        }
617
 
618
 
619
                }
620
 
621
 
622
        }
623
        $mm="$mm\n\t);";
624
        add_text_to_string(\$soc_v,"$ww\n");
625
        add_text_to_string(\$soc_v,"$mm\n");
626
        add_text_to_string(\$soc_v,"$ss\n");
627
        add_text_to_string(\$soc_v,"\n endmodule\n");
628
 
629
 
630
 
631
 
632
 
633
 
634
 
635
        return $soc_v;
636
 
637
}
638
 
639
 
640
 
641
 
642
 
643
 
644
 
645
 
646
 
647
 
648
 
649
 
650
sub gen_system_info {
651
        my ($soc,$param)=@_;
652
        my ($wb_slaves,$wb_masters,$other,$jtag);
653
        #my (@newbase,@newend,@connects);
654
 
655
 
656 28 alirezamon
   $jtag='';
657 25 alirezamon
 
658 28 alirezamon
        my @all_instances=$soc->soc_get_all_instances();
659 25 alirezamon
 
660 28 alirezamon
my %jtagwb; my %ram;
661
 
662
 
663 25 alirezamon
        foreach my $instance_id (@all_instances){
664 28 alirezamon
                my $category=$soc->soc_get_category($instance_id);
665
 
666 25 alirezamon
                my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
667
                foreach my $plug (@plugs){
668
                        my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
669
                        foreach my $num (@nums){
670
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
671 28 alirezamon
 
672 25 alirezamon
                                my $instance_name=$soc->soc_get_instance_name($instance_id);
673
                                my $connect_name=$soc->soc_get_instance_name($connect_id);
674
                                #get interfaces
675
                                if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
676
 
677
                                        $base=sprintf("0x%08x", $base);
678
                                        $end=sprintf("0x%08x", $end);
679 28 alirezamon
                                        add_text_to_string(\$wb_slaves, "\t$instance_name, $name, $connect_name, $base, $end\n");
680
                                        if ($category eq 'RAM') {
681
                                                $ram{$instance_id}{'base'}=$base;
682
                                                $ram{$instance_id}{'end'}=$end;
683
                                                $ram{$instance_id}{'connect'}=$connect_id;
684
                                        }
685 25 alirezamon
 
686
                                }#if
687
                                elsif((defined $connect_socket) && ($connect_socket eq 'wb_master')){
688
                                        add_text_to_string(\$wb_masters,"\t$instance_name, $name, $connect_name\n");
689
                                }
690
                                elsif(defined $connect_socket) {
691
                                        add_text_to_string(\$other,"\t$instance_name, $name, $connect_name\n");
692
 
693
                                }
694
                                # get jtag_wbs
695
                                if((defined $connect_socket) && ($connect_socket eq 'wb_master') && ($instance_id =~ /jtag_wb/)){
696
                                        my $index=$soc->soc_get_module_param_value($instance_id,'VJTAG_INDEX');
697 28 alirezamon
 
698 25 alirezamon
                                        add_text_to_string(\$jtag, "\t$instance_name,  $connect_name, $index\n");
699 28 alirezamon
                                        $jtagwb{$connect_id}{'index'}=$index;
700 25 alirezamon
 
701
                                }
702
 
703
 
704
                        }#foreach my $num
705
                }#foreach my $plug
706
        }#foreach my $instance_id
707
 
708 28 alirezamon
        #Generate memory programming command
709
my $prog='#!/bin/sh
710 25 alirezamon
 
711 28 alirezamon
JTAG_MAIN="$PRONOC_WORK/toolchain/bin/jtag_main"
712
 
713
';
714
 
715
 
716
        foreach my $instance_id (@all_instances){
717
                my $category=$soc->soc_get_category($instance_id);
718
                if ($category eq 'RAM') {
719
 
720
                        my $jtag_connect=$soc->soc_get_module_param_value($instance_id,'JTAG_CONNECT');
721
                        my $aw=$soc->soc_get_module_param_value($instance_id,'Aw');
722
                        my $dw=$soc->soc_get_module_param_value($instance_id,'Dw');
723
                        my $JTAG_INDEX=$soc->soc_get_module_param_value($instance_id,'JTAG_INDEX');
724
 
725
                        #check if jtag_index is a parameter
726
                        my $v=$soc->soc_get_module_param_value($instance_id,$JTAG_INDEX);
727
                        $JTAG_INDEX = $v if (defined $v);
728
                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
729
                        $JTAG_INDEX = $v if (defined $v);
730
 
731
                        my $BINFILE=$soc->soc_get_module_param_value($instance_id,'INIT_FILE_NAME');
732
                        ($BINFILE)=$BINFILE=~ /"([^"]*)"/ if(defined $BINFILE);
733
                        $BINFILE=(defined $BINFILE) ? $BINFILE.'.bin' : 'ram0.bin';
734
 
735
                        my $OFSSET="0x00000000";
736
                        my $end=((1<<$aw)*($dw/8))-1;
737
                        my $BOUNDRY=sprintf("0x%08x", $end);
738
                        if($jtag_connect =~ /JTAG_WB/){
739
                                $prog= "$prog \$JTAG_MAIN -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
740
                                #print "prog= $prog\n";
741
 
742
                        }elsif ($jtag_connect eq 'ALTERA_IMCE'){
743
                                #TODO add later
744
 
745
 
746
                        } else{
747
                                #disabled check if its connected to jtag_wb via the bus
748
                                my      $connect_id = $ram{$instance_id}{'connect'};
749
                                my $OFSSET = $ram{$instance_id}{'base'};
750
                                my $BOUNDRY = $ram{$instance_id}{'end'};
751
                                if(defined $connect_id){
752
                                        #print "id=$connect_id\n";
753
                                        my $JTAG_INDEX= $jtagwb{$connect_id}{'index'};
754
                                                if(defined $JTAG_INDEX){
755
                                                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
756
                                                        $JTAG_INDEX = $v if (defined $v);
757
                                                        $prog= "$prog \$JTAG_MAIN -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
758
                                                        #print "prog= $prog\n";
759
 
760
                                                }
761
 
762
                                }
763
                        }
764
 
765
 
766
                }
767
 
768
 
769
        }
770
 
771
 
772 25 alirezamon
my $lisence= get_license_header("readme");
773
my $warning=autogen_warning();
774
 
775
 
776
 
777
 
778
my $readme="
779
$warning
780
$lisence
781
 
782
***********************
783
**      Program the memories
784
***********************
785
 
786
If the memory core and jtag_wb are connected to the same wishbone bus, you can program the memory using
787
 
788
        sh program.sh
789
 
790
 
791
 
792
***************************
793
**      soc parameters
794
***************************
795
 
796
$param
797
 
798
****************************
799
**      wishbone bus(es)  info
800
****************************
801
        #slave interfaces:
802
        #instance name,  interface name, connected to, base address, boundray address
803
$wb_slaves
804
 
805
        #master interfaces:
806
        #instance name,  interface name, connected to
807
$wb_masters
808
 
809
****************************
810
**      Jtag to wishbone interface (jtag_wb) info:
811
****************************
812
 
813
        #instance name, instance name,  VJTAG_INDEX
814
$jtag
815
 
816
 
817
";
818
 
819 28 alirezamon
 
820
 
821
 
822
        return ($readme,$prog);
823 25 alirezamon
 
824
 
825
 
826
}
827
 
828
 
829
 
830
 
831
 
832
 
833
 
834
 
835
 
836
 
837
 
838 16 alirezamon
1;
839
 
840
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.