OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [verilog/] [bus.v] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 alirezamon
/*********************************************************************
2
 
3
        File: wb_master.v
4
 
5
        Copyright (C) 2014  Alireza Monemi
6
 
7
    This program is free software: you can redistribute it and/or modify
8
    it under the terms of the GNU General Public License as published by
9
    the Free Software Foundation, either version 3 of the License, or
10
    (at your option) any later version.
11
 
12
    This program is distributed in the hope that it will be useful,
13
    but WITHOUT ANY WARRANTY; without even the implied warranty of
14
    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
    GNU General Public License for more details.
16
 
17
    You should have received a copy of the GNU General Public License
18
    along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
 
20
 
21
        Purpose:
22
        generating the wishbone bus.
23
 
24
        Info: monemi@fkegraduate.utm.my
25
 
26
****************************************************************/
27
 
28
 
29
 
30
 
31
 
32
 
33
 
34
module wb_master_socket #(
35
 
36
 
37
        parameter Dw  = 32,        // maximum data width
38
        parameter Aw  = 32,    // address width
39
        parameter SELw   =      2,
40
        parameter TAGw   =      3    //merged  {tga,tgb,tgc}
41
 
42
 
43
)
44
(
45
 
46
 
47
 
48
        //masters interface
49
        output  [Dw-1      :   0]   dat_o,
50
        output                      ack_o,
51
        output                      err_o,
52
        output                      rty_o,
53
 
54
 
55
        input   [Aw-1      :   0]   adr_i,
56
        input   [Dw-1      :   0]   dat_i,
57
        input   [SELw-1    :   0]   sel_i,
58
        input   [TAGw-1    :   0]   tag_i,
59
        input                       we_i,
60
        input                       stb_i,
61
        input                       cyc_i
62
 
63
        //address compar
64
        //m_grant_addr,
65
    //s_sel_one_hot,
66
 
67
 
68
 
69
 
70
);
71
 
72
 
73
 
74
 
75
endmodule
76
 
77
 
78
 
79
 
80
 
81
 
82
 
83
module wb_slave_socket #(
84
 
85
 
86
        parameter Dw  = 32,        // maximum data width
87
        parameter Aw  = 32,    // address width
88
        parameter SELw   =      2,
89
        parameter TAGw   =      3    //merged  {tga,tgb,tgc}
90
 
91
 
92
)
93
(
94
 
95
 
96
 
97
    output  [Aw-1      :   0]   adr_o,
98
    output  [Dw-1      :   0]   dat_o,
99
    output  [SELw-1    :   0]   sel_o,
100
    output  [TAGw-1    :   0]   tag_o,
101
    output                      we_o,
102
    output     cyc_o,
103
    output     stb_o,
104
 
105
 
106
    input   [DwS-1      :   0]   dat_i,
107
    input      ack_i,
108
    input      err_i,
109
    input      rty_i
110
 
111
);
112
 
113
endmodule
114
 
115
 
116
module clk_socket(
117
        output clk_o
118
 
119
);
120
 
121
endmodule
122
 
123
module reset_socket(
124
        output reset_o
125
 
126
);
127
 
128
endmodule
129
 
130
 
131
 
132
 
133
 
134
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.