OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [common/] [custom_lkh_routing.v] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
`timescale 1ns / 1ps
2
 
3
module custom_lkh_routing  #(
4
        parameter TOPOLOGY = "CUSTOM_NAME",
5
        parameter ROUTE_NAME = "CUSTOM_NAME",
6
        parameter ROUTE_TYPE = "DETERMINISTIC",
7
        parameter RAw = 3,
8
        parameter EAw = 3,
9
        parameter DSTPw=4
10
)
11
(
12
        current_r_addr,
13
        dest_e_addr,
14
        src_e_addr,
15
        destport,
16
        reset,
17
        clk
18
);
19
 
20
        input   [RAw-1   :0] current_r_addr;
21
        input   [EAw-1   :0] dest_e_addr;
22
        input   [EAw-1   :0] src_e_addr;
23
        output  [DSTPw-1 :0] destport;
24
        input reset,clk;
25
 
26
    generate
27
 
28
 
29
 
30
 
31
 
32
 
33
 
34 54 alirezamon
 
35
 
36
 
37
 
38
 
39
 
40 48 alirezamon
        //do not modify this line ===Tcustom1Rcustom===
41
    if(TOPOLOGY == "custom1" && ROUTE_NAME== "custom" ) begin : Tcustom1Rcustom
42
 
43
           Tcustom1Rcustom_look_ahead_routing  #(
44
            .RAw(RAw),
45
            .EAw(EAw),
46
            .DSTPw(DSTPw)
47
        )
48
        the_lkh_routing
49
        (
50
            .current_r_addr(current_r_addr),
51
            .dest_e_addr(dest_e_addr),
52
            .src_e_addr(src_e_addr),
53
            .destport(destport),
54
            .reset(reset),
55
            .clk(clk)
56
        );
57
 
58
    end
59
 
60
    endgenerate
61
 
62
 
63
 
64
 
65
 
66
 
67
 
68
 
69
 
70
 
71
 
72
 
73 54 alirezamon
 
74
 
75
 
76
 
77
 
78
 
79 48 alirezamon
 
80
 
81
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.