URL
https://opencores.org/ocsvn/ao486/ao486/trunk
Go to most recent revision |
Details |
Compare with Previous |
View Log
Line No. |
Rev |
Author |
Line |
1 |
2 |
alfik |
component soc is
|
2 |
|
|
port (
|
3 |
|
|
clk_clk : in std_logic := 'X'; -- clk
|
4 |
|
|
reset_reset_n : in std_logic := 'X'; -- reset_n
|
5 |
|
|
ps2_export_interrupt_keyb : out std_logic; -- interrupt_keyb
|
6 |
|
|
ps2_export_interrupt_mouse : out std_logic; -- interrupt_mouse
|
7 |
|
|
ps2_export_output_a20_enable : out std_logic; -- output_a20_enable
|
8 |
|
|
ps2_export_output_reset_n : out std_logic; -- output_reset_n
|
9 |
|
|
ps2_export_ps2_kbclk : inout std_logic := 'X'; -- ps2_kbclk
|
10 |
|
|
ps2_export_ps2_kbdat : inout std_logic := 'X'; -- ps2_kbdat
|
11 |
|
|
ps2_export_ps2_mouseclk : inout std_logic := 'X'; -- ps2_mouseclk
|
12 |
|
|
ps2_export_ps2_mousedat : inout std_logic := 'X'; -- ps2_mousedat
|
13 |
|
|
pio_0_external_export : in std_logic_vector(7 downto 0) := (others => 'X') -- export
|
14 |
|
|
);
|
15 |
|
|
end component soc;
|
16 |
|
|
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.