OpenCores
URL https://opencores.org/ocsvn/ao68000/ao68000/trunk

Subversion Repositories ao68000

[/] [ao68000/] [trunk/] [tests/] [soc_for_linux_on_terasic_de2_70/] [quartus_project/] [soc_for_linux.qsf] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 alfik
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2009 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 9.1 Build 222 10/21/2009 SJ Web Edition
21
# Date created = 21:50:15  March 02, 2010
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               soc_for_linux_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C70F896C6
41
set_global_assignment -name TOP_LEVEL_ENTITY soc_for_linux
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.1
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:50:15  MARCH 02, 2010"
44
set_global_assignment -name LAST_QUARTUS_VERSION 10.1
45
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
46
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
47
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 896
48
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
49
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51
set_global_assignment -name ENABLE_CLOCK_LATENCY ON
52
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
53
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
54
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
55
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
56
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
57
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
58
set_location_assignment PIN_R3 -to clk_i
59
set_location_assignment PIN_AA23 -to rst_i
60
set_location_assignment PIN_T26 -to sd_clk_o
61
set_location_assignment PIN_W29 -to sd_dat_io
62
set_location_assignment PIN_W28 -to sd_cmd_io
63
set_location_assignment PIN_AJ6 -to sd_debug[0]
64
set_location_assignment PIN_AK5 -to sd_debug[1]
65
set_location_assignment PIN_AJ5 -to sd_debug[2]
66
set_location_assignment PIN_AJ4 -to sd_debug[3]
67
set_location_assignment PIN_AK3 -to sd_debug[4]
68
set_location_assignment PIN_AH4 -to sd_debug[5]
69
set_location_assignment PIN_D21 -to uart_rxd
70
set_location_assignment PIN_E21 -to uart_txd
71
set_location_assignment PIN_G22 -to uart_cts
72
set_location_assignment PIN_F23 -to uart_rts
73
set_location_assignment PIN_AG8 -to ssram_address[0]
74
set_location_assignment PIN_AF8 -to ssram_address[1]
75
set_location_assignment PIN_AF14 -to ssram_address[10]
76
set_location_assignment PIN_AG14 -to ssram_address[11]
77
set_location_assignment PIN_AE15 -to ssram_address[12]
78
set_location_assignment PIN_AF15 -to ssram_address[13]
79
set_location_assignment PIN_AC16 -to ssram_address[14]
80
set_location_assignment PIN_AF20 -to ssram_address[15]
81
set_location_assignment PIN_AG20 -to ssram_address[16]
82
set_location_assignment PIN_AE11 -to ssram_address[17]
83
set_location_assignment PIN_AF11 -to ssram_address[18]
84
#set_location_assignment PIN_AG10 -to ssram_address[19]
85
set_location_assignment PIN_AH7 -to ssram_address[2]
86
#set_location_assignment PIN_AG9 -to ssram_address[20]
87
set_location_assignment PIN_AG7 -to ssram_address[3]
88
set_location_assignment PIN_AG6 -to ssram_address[4]
89
set_location_assignment PIN_AG5 -to ssram_address[5]
90
set_location_assignment PIN_AE12 -to ssram_address[6]
91
set_location_assignment PIN_AG12 -to ssram_address[7]
92
set_location_assignment PIN_AD13 -to ssram_address[8]
93
set_location_assignment PIN_AE13 -to ssram_address[9]
94
set_location_assignment PIN_AG17 -to ssram_adsc_n
95
set_location_assignment PIN_AC18 -to ssram_adsp_n
96
set_location_assignment PIN_AD16 -to ssram_advance_n
97
set_location_assignment PIN_AC21 -to ssram_byteen0_n
98
set_location_assignment PIN_AC20 -to ssram_byteen1_n
99
set_location_assignment PIN_AD20 -to ssram_byteen2_n
100
set_location_assignment PIN_AH20 -to ssram_byteen3_n
101
set_location_assignment PIN_AH19 -to ssram_ce1_n
102
set_location_assignment PIN_AG19 -to ssram_ce2
103
set_location_assignment PIN_AD22 -to ssram_ce3_n
104
set_location_assignment PIN_AD7 -to ssram_clk
105
#set_location_assignment PIN_AK9 -to SRAM_DPA[0]
106
#set_location_assignment PIN_AJ23 -to SRAM_DPA[1]
107
#set_location_assignment PIN_AK20 -to SRAM_DPA[2]
108
#set_location_assignment PIN_AJ9 -to SRAM_DPA[3]
109
set_location_assignment PIN_AH10 -to ssram_data[0]
110
set_location_assignment PIN_AJ10 -to ssram_data[1]
111
set_location_assignment PIN_AH17 -to ssram_data[10]
112
set_location_assignment PIN_AJ18 -to ssram_data[11]
113
set_location_assignment PIN_AH18 -to ssram_data[12]
114
set_location_assignment PIN_AK19 -to ssram_data[13]
115
set_location_assignment PIN_AJ19 -to ssram_data[14]
116
set_location_assignment PIN_AK23 -to ssram_data[15]
117
set_location_assignment PIN_AJ20 -to ssram_data[16]
118
set_location_assignment PIN_AK21 -to ssram_data[17]
119
set_location_assignment PIN_AJ21 -to ssram_data[18]
120
set_location_assignment PIN_AK22 -to ssram_data[19]
121
set_location_assignment PIN_AK10 -to ssram_data[2]
122
set_location_assignment PIN_AJ22 -to ssram_data[20]
123
set_location_assignment PIN_AH15 -to ssram_data[21]
124
set_location_assignment PIN_AJ15 -to ssram_data[22]
125
set_location_assignment PIN_AJ16 -to ssram_data[23]
126
set_location_assignment PIN_AK14 -to ssram_data[24]
127
set_location_assignment PIN_AJ14 -to ssram_data[25]
128
set_location_assignment PIN_AJ13 -to ssram_data[26]
129
set_location_assignment PIN_AH13 -to ssram_data[27]
130
set_location_assignment PIN_AK12 -to ssram_data[28]
131
set_location_assignment PIN_AK7 -to ssram_data[29]
132
set_location_assignment PIN_AJ11 -to ssram_data[3]
133
set_location_assignment PIN_AJ8 -to ssram_data[30]
134
set_location_assignment PIN_AK8 -to ssram_data[31]
135
set_location_assignment PIN_AK11 -to ssram_data[4]
136
set_location_assignment PIN_AH12 -to ssram_data[5]
137
set_location_assignment PIN_AJ12 -to ssram_data[6]
138
set_location_assignment PIN_AH16 -to ssram_data[7]
139
set_location_assignment PIN_AK17 -to ssram_data[8]
140
set_location_assignment PIN_AJ17 -to ssram_data[9]
141
set_location_assignment PIN_AG18 -to ssram_globalw_n
142
set_location_assignment PIN_AD18 -to ssram_oe_n
143
set_location_assignment PIN_AF18 -to ssram_writeen_n
144
 
145
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
146
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
147
set_location_assignment PIN_AC13 -to pc_debug[0]
148
set_location_assignment PIN_AB13 -to pc_debug[1]
149
set_location_assignment PIN_AC12 -to pc_debug[2]
150
set_location_assignment PIN_AB12 -to pc_debug[3]
151
set_location_assignment PIN_AC11 -to pc_debug[4]
152
set_location_assignment PIN_AD9 -to pc_debug[5]
153
set_location_assignment PIN_AD8 -to pc_debug[6]
154
set_location_assignment PIN_AJ7 -to pc_debug[7]
155
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS"
156
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
157
set_global_assignment -name SMART_RECOMPILE ON
158
set_global_assignment -name SDC_FILE soc_for_linux.sdc
159
set_global_assignment -name VERILOG_FILE ao68000.v
160
set_global_assignment -name VERILOG_FILE ssram.v
161
set_global_assignment -name VERILOG_FILE early_boot.v
162
set_global_assignment -name VERILOG_FILE soc_for_linux.v
163
set_global_assignment -name VERILOG_FILE sd.v
164
set_global_assignment -name VERILOG_FILE serial_txd.v
165
set_global_assignment -name VERILOG_FILE timer.v
166
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
167
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
168
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
169
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.