OpenCores
URL https://opencores.org/ocsvn/aoocs/aoocs/trunk

Subversion Repositories aoocs

[/] [aoocs/] [trunk/] [doc/] [doxygen/] [html/] [drv__audio_8v_source.html] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2
<html xmlns="http://www.w3.org/1999/xhtml">
3
<head>
4
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5
<title>aoOCS: drv_audio.v Source File</title>
6
<link href="tabs.css" rel="stylesheet" type="text/css"/>
7
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
8
</head>
9
<body>
10
<!-- Generated by Doxygen 1.7.2 -->
11
<div class="navigation" id="top">
12
  <div class="tabs">
13
    <ul class="tablist">
14
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
15
      <li><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
16
      <li class="current"><a href="files.html"><span>Files</span></a></li>
17
    </ul>
18
  </div>
19
  <div class="tabs2">
20
    <ul class="tablist">
21
      <li><a href="files.html"><span>File&#160;List</span></a></li>
22
      <li><a href="globals.html"><span>File&#160;Members</span></a></li>
23
    </ul>
24
  </div>
25
<div class="header">
26
  <div class="headertitle">
27
<h1>drv_audio.v</h1>  </div>
28
</div>
29
<div class="contents">
30
<a href="drv__audio_8v.html">Go to the documentation of this file.</a><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001  <span class="keyword">/* </span>
31
<a name="l00002"></a>00002 <span class="keyword">  Copyright 2010, Aleksander Osman, alfik@poczta.fm. All rights reserved.</span>
32
<a name="l00003"></a>00003 <span class="keyword"> </span>
33
<a name="l00004"></a>00004 <span class="keyword">  Redistribution and use in source and binary forms, with or without modification, are</span>
34
<a name="l00005"></a>00005 <span class="keyword">  permitted provided that the following conditions are met:</span>
35
<a name="l00006"></a>00006 <span class="keyword"> </span>
36
<a name="l00007"></a>00007 <span class="keyword">   1. Redistributions of source code must retain the above copyright notice, this list of</span>
37
<a name="l00008"></a>00008 <span class="keyword">      conditions and the following disclaimer.</span>
38
<a name="l00009"></a>00009 <span class="keyword"> </span>
39
<a name="l00010"></a>00010 <span class="keyword">   2. Redistributions in binary form must reproduce the above copyright notice, this list</span>
40
<a name="l00011"></a>00011 <span class="keyword">      of conditions and the following disclaimer in the documentation and/or other materials</span>
41
<a name="l00012"></a>00012 <span class="keyword">      provided with the distribution.</span>
42
<a name="l00013"></a>00013 <span class="keyword"> </span>
43
<a name="l00014"></a>00014 <span class="keyword">  THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS&#39;&#39; AND ANY EXPRESS OR IMPLIED</span>
44
<a name="l00015"></a>00015 <span class="keyword">  WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND</span>
45
<a name="l00016"></a>00016 <span class="keyword">  FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR</span>
46
<a name="l00017"></a>00017 <span class="keyword">  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR</span>
47
<a name="l00018"></a>00018 <span class="keyword">  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR</span>
48
<a name="l00019"></a>00019 <span class="keyword">  SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON</span>
49
<a name="l00020"></a>00020 <span class="keyword">  ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING</span>
50
<a name="l00021"></a>00021 <span class="keyword">  NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF</span>
51
<a name="l00022"></a>00022 <span class="keyword">  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.</span>
52
<a name="l00023"></a>00023 <span class="keyword"> */</span>
53
<a name="l00024"></a>00024
54
<a name="l00025"></a>00025 <span class="keyword">/*! \file</span>
55
<a name="l00026"></a>00026 <span class="keyword">  \brief WM8731 audio codec driver for stereo audio output.</span>
56
<a name="l00027"></a>00027 <span class="keyword"> */</span>
57
<a name="l00028"></a>00028
58
<a name="l00029"></a>00029 <span class="keyword">/*! \brief \copybrief drv_audio.v</span>
59
<a name="l00030"></a>00030 <span class="keyword">*/</span>
60
<a name="l00031"></a><a class="code" href="classdrv__audio.html">00031</a> <span class="vhdlkeyword">module</span> <a class="code" href="classdrv__audio.html">drv_audio</a>(
61
<a name="l00032"></a>00032     <span class="keyword">//% \name Clock and reset
62
</span>
63
<a name="l00033"></a>00033     <span class="keyword">//% @{</span>
64
<a name="l00034"></a><a class="code" href="classdrv__audio.html#a82aa89e831131841dcc2f484cf5a43be">00034</a>     <span class="vhdlkeyword">input</span>       <a class="code" href="classdrv__audio.html#a82aa89e831131841dcc2f484cf5a43be">clk_12</a>,
65
<a name="l00035"></a><a class="code" href="classdrv__audio.html#a31e1c30ba7812ad59ba6a206d099ffee">00035</a>     <span class="vhdlkeyword">input</span>       <a class="code" href="classdrv__audio.html#a31e1c30ba7812ad59ba6a206d099ffee">reset_n</a>,
66
<a name="l00036"></a>00036     <span class="keyword">//% @}</span>
67
<a name="l00037"></a>00037
68
<a name="l00038"></a>00038     <span class="keyword">//% \name drv_audio interface
69
</span>
70
<a name="l00039"></a>00039     <span class="keyword">//% @{</span>
71
<a name="l00040"></a><a class="code" href="classdrv__audio.html#afa79730283d4fca6cd148df779d7621e">00040</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#afa79730283d4fca6cd148df779d7621e">volume0</a>,
72
<a name="l00041"></a><a class="code" href="classdrv__audio.html#a24af75287d384a1d47a316655d316fbb">00041</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a24af75287d384a1d47a316655d316fbb">volume1</a>,
73
<a name="l00042"></a><a class="code" href="classdrv__audio.html#a892d8bbbbeb51a5cfc2c4781fb108997">00042</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a892d8bbbbeb51a5cfc2c4781fb108997">volume2</a>,
74
<a name="l00043"></a><a class="code" href="classdrv__audio.html#a9434def5319dc92121f56657e84773c1">00043</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a9434def5319dc92121f56657e84773c1">volume3</a>,
75
<a name="l00044"></a><a class="code" href="classdrv__audio.html#ac6eb6c59f1acba2dadd0c2337b1cd686">00044</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ac6eb6c59f1acba2dadd0c2337b1cd686">sample0</a>,
76
<a name="l00045"></a><a class="code" href="classdrv__audio.html#af47c670c55b69ef6dc08afb74ec6fee3">00045</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#af47c670c55b69ef6dc08afb74ec6fee3">sample1</a>,
77
<a name="l00046"></a><a class="code" href="classdrv__audio.html#a2be6f6fc5507525b1f4a1fbcfd34928b">00046</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a2be6f6fc5507525b1f4a1fbcfd34928b">sample2</a>,
78
<a name="l00047"></a><a class="code" href="classdrv__audio.html#a179f7ad7d07f063f01ac9d32621f33c1">00047</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a179f7ad7d07f063f01ac9d32621f33c1">sample3</a>,
79
<a name="l00048"></a>00048     <span class="keyword">//% @}</span>
80
<a name="l00049"></a>00049
81
<a name="l00050"></a>00050     <span class="keyword">//% \name WM8731 audio codec hardware interface
82
</span>
83
<a name="l00051"></a>00051     <span class="keyword">//% @{</span>
84
<a name="l00052"></a><a class="code" href="classdrv__audio.html#a638f5df1565b37c1dfee462766e994fb">00052</a>     <span class="vhdlkeyword">output</span>      <a class="code" href="classdrv__audio.html#a638f5df1565b37c1dfee462766e994fb">ac_sclk</a>,
85
<a name="l00053"></a><a class="code" href="classdrv__audio.html#a614103e1dff866ce70f61b7a0f946e00">00053</a>     <span class="vhdlkeyword">inout</span>       <a class="code" href="classdrv__audio.html#a614103e1dff866ce70f61b7a0f946e00">ac_sdat</a>,
86
<a name="l00054"></a><a class="code" href="classdrv__audio.html#ac6570c2d06394c43b868794aaac13875">00054</a>     <span class="vhdlkeyword">output</span>      <a class="code" href="classdrv__audio.html#ac6570c2d06394c43b868794aaac13875">ac_xclk</a>,
87
<a name="l00055"></a><a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">00055</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>  <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a>,
88
<a name="l00056"></a><a class="code" href="classdrv__audio.html#a87f2886b45a47ae898eca8f6d9112936">00056</a>     <span class="vhdlkeyword">output</span>      <a class="code" href="classdrv__audio.html#a87f2886b45a47ae898eca8f6d9112936">ac_dat</a>,
89
<a name="l00057"></a><a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">00057</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>  <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a>
90
<a name="l00058"></a>00058     <span class="keyword">//% @}</span>
91
<a name="l00059"></a>00059 );
92
<a name="l00060"></a>00060
93
<a name="l00061"></a>00061 <span class="keyword">//clock domain switch</span>
94
<a name="l00062"></a><a class="code" href="classdrv__audio.html#a026a32133d860bd3f696d4cad1cd89cd">00062</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a026a32133d860bd3f696d4cad1cd89cd">volume0a</a>;
95
<a name="l00063"></a><a class="code" href="classdrv__audio.html#a3786339f2184e505b8be1ec1e6f83544">00063</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a3786339f2184e505b8be1ec1e6f83544">volume1a</a>;
96
<a name="l00064"></a><a class="code" href="classdrv__audio.html#a5f6b5ceb3e5cf7368b15638c024be903">00064</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a5f6b5ceb3e5cf7368b15638c024be903">volume2a</a>;
97
<a name="l00065"></a><a class="code" href="classdrv__audio.html#addedbba5417c7143b1d4a433d0a4f2bd">00065</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#addedbba5417c7143b1d4a433d0a4f2bd">volume3a</a>;
98
<a name="l00066"></a><a class="code" href="classdrv__audio.html#ad85d1e1fd9de00e98fe87f1b831518cd">00066</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ad85d1e1fd9de00e98fe87f1b831518cd">sample0a</a>;
99
<a name="l00067"></a><a class="code" href="classdrv__audio.html#a48a565e7937a507149e7f2c730d9df78">00067</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a48a565e7937a507149e7f2c730d9df78">sample1a</a>;
100
<a name="l00068"></a><a class="code" href="classdrv__audio.html#ae6a69b685897783c31a59f5d1788a0b2">00068</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ae6a69b685897783c31a59f5d1788a0b2">sample2a</a>;
101
<a name="l00069"></a><a class="code" href="classdrv__audio.html#a307a7daa41080ea87e11de754d076787">00069</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a307a7daa41080ea87e11de754d076787">sample3a</a>;
102
<a name="l00070"></a>00070
103
<a name="l00071"></a><a class="code" href="classdrv__audio.html#acee7f8956a255f1d0790305fcd04e64a">00071</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__audio.html#a82aa89e831131841dcc2f484cf5a43be">clk_12</a>) <span class="vhdlkeyword">begin</span>
104
<a name="l00072"></a>00072     <a class="code" href="classdrv__audio.html#a026a32133d860bd3f696d4cad1cd89cd">volume0a</a> &lt;= <a class="code" href="classdrv__audio.html#afa79730283d4fca6cd148df779d7621e">volume0</a>;
105
<a name="l00073"></a>00073     <a class="code" href="classdrv__audio.html#a3786339f2184e505b8be1ec1e6f83544">volume1a</a> &lt;= <a class="code" href="classdrv__audio.html#a24af75287d384a1d47a316655d316fbb">volume1</a>;
106
<a name="l00074"></a>00074     <a class="code" href="classdrv__audio.html#a5f6b5ceb3e5cf7368b15638c024be903">volume2a</a> &lt;= <a class="code" href="classdrv__audio.html#a892d8bbbbeb51a5cfc2c4781fb108997">volume2</a>;
107
<a name="l00075"></a>00075     <a class="code" href="classdrv__audio.html#addedbba5417c7143b1d4a433d0a4f2bd">volume3a</a> &lt;= <a class="code" href="classdrv__audio.html#a9434def5319dc92121f56657e84773c1">volume3</a>;
108
<a name="l00076"></a>00076     <a class="code" href="classdrv__audio.html#ad85d1e1fd9de00e98fe87f1b831518cd">sample0a</a> &lt;= <a class="code" href="classdrv__audio.html#ac6eb6c59f1acba2dadd0c2337b1cd686">sample0</a>;
109
<a name="l00077"></a>00077     <a class="code" href="classdrv__audio.html#a48a565e7937a507149e7f2c730d9df78">sample1a</a> &lt;= <a class="code" href="classdrv__audio.html#af47c670c55b69ef6dc08afb74ec6fee3">sample1</a>;
110
<a name="l00078"></a>00078     <a class="code" href="classdrv__audio.html#ae6a69b685897783c31a59f5d1788a0b2">sample2a</a> &lt;= <a class="code" href="classdrv__audio.html#a2be6f6fc5507525b1f4a1fbcfd34928b">sample2</a>;
111
<a name="l00079"></a>00079     <a class="code" href="classdrv__audio.html#a307a7daa41080ea87e11de754d076787">sample3a</a> &lt;= <a class="code" href="classdrv__audio.html#a179f7ad7d07f063f01ac9d32621f33c1">sample3</a>;
112
<a name="l00080"></a>00080 <span class="vhdlkeyword">end</span>
113
<a name="l00081"></a>00081
114
<a name="l00082"></a><a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">00082</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a>;
115
<a name="l00083"></a><a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">00083</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a>;
116
<a name="l00084"></a><a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">00084</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a>;
117
<a name="l00085"></a><a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">00085</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a>;
118
<a name="l00086"></a><a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">00086</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>;
119
<a name="l00087"></a><a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">00087</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>;
120
<a name="l00088"></a><a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">00088</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>;
121
<a name="l00089"></a><a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">00089</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>;
122
<a name="l00090"></a>00090
123
<a name="l00091"></a><a class="code" href="classdrv__audio.html#af7ff2091a6e330e719475e5a7911a9a2">00091</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__audio.html#a82aa89e831131841dcc2f484cf5a43be">clk_12</a>) <span class="vhdlkeyword">begin</span>
124
<a name="l00092"></a>00092     <a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a> &lt;= <a class="code" href="classdrv__audio.html#a026a32133d860bd3f696d4cad1cd89cd">volume0a</a>;
125
<a name="l00093"></a>00093     <a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a> &lt;= <a class="code" href="classdrv__audio.html#a3786339f2184e505b8be1ec1e6f83544">volume1a</a>;
126
<a name="l00094"></a>00094     <a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a> &lt;= <a class="code" href="classdrv__audio.html#a5f6b5ceb3e5cf7368b15638c024be903">volume2a</a>;
127
<a name="l00095"></a>00095     <a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a> &lt;= <a class="code" href="classdrv__audio.html#addedbba5417c7143b1d4a433d0a4f2bd">volume3a</a>;
128
<a name="l00096"></a>00096     <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a> &lt;= <a class="code" href="classdrv__audio.html#ad85d1e1fd9de00e98fe87f1b831518cd">sample0a</a>;
129
<a name="l00097"></a>00097     <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a> &lt;= <a class="code" href="classdrv__audio.html#a48a565e7937a507149e7f2c730d9df78">sample1a</a>;
130
<a name="l00098"></a>00098     <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a> &lt;= <a class="code" href="classdrv__audio.html#ae6a69b685897783c31a59f5d1788a0b2">sample2a</a>;
131
<a name="l00099"></a>00099     <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a> &lt;= <a class="code" href="classdrv__audio.html#a307a7daa41080ea87e11de754d076787">sample3a</a>;
132
<a name="l00100"></a>00100 <span class="vhdlkeyword">end</span>
133
<a name="l00101"></a>00101
134
<a name="l00102"></a>00102
135
<a name="l00103"></a>00103 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a87f2886b45a47ae898eca8f6d9112936">ac_dat</a> = <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a>[<span class="vhdllogic">31</span>];
136
<a name="l00104"></a>00104 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#ac6570c2d06394c43b868794aaac13875">ac_xclk</a> = <a class="code" href="classdrv__audio.html#a82aa89e831131841dcc2f484cf5a43be">clk_12</a>;
137
<a name="l00105"></a>00105
138
<a name="l00106"></a>00106 <span class="keyword">// left MSB-LSB, right MSB-LSB</span>
139
<a name="l00107"></a><a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">00107</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a>;
140
<a name="l00108"></a><a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">00108</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a>;
141
<a name="l00109"></a>00109
142
<a name="l00110"></a><a class="code" href="classdrv__audio.html#a32d7b3633b34fb5754e19a9ecbbc7461">00110</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">13</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a32d7b3633b34fb5754e19a9ecbbc7461">mult_left_1</a>;
143
<a name="l00111"></a>00111 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a32d7b3633b34fb5754e19a9ecbbc7461">mult_left_1</a> =
144
<a name="l00112"></a>00112     ((<a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">6</span>{<a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] } : <span class="vhdllogic">14&#39;d0</span>) +
145
<a name="l00113"></a>00113     ((<a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
146
<a name="l00114"></a>00114     ((<a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">4</span>{<a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">2&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
147
<a name="l00115"></a>00115     ((<a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">3&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
148
<a name="l00116"></a>00116     ((<a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a>[<span class="vhdllogic">4</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">2</span>{<a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">4&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
149
<a name="l00117"></a>00117     ((<a class="code" href="classdrv__audio.html#a49774a000f12e93eb7c55d611d26e592">volume1f</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">1</span>{<a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae0dfd2591a910724dab88bde7c6048a3">sample1f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">5&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>);
150
<a name="l00118"></a>00118
151
<a name="l00119"></a><a class="code" href="classdrv__audio.html#a4cb67941760c251c8610e67dcf1a846f">00119</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">13</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a4cb67941760c251c8610e67dcf1a846f">mult_left_2</a>;
152
<a name="l00120"></a>00120 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a4cb67941760c251c8610e67dcf1a846f">mult_left_2</a> =
153
<a name="l00121"></a>00121     ((<a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">6</span>{<a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] } : <span class="vhdllogic">14&#39;d0</span>) +
154
<a name="l00122"></a>00122     ((<a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
155
<a name="l00123"></a>00123     ((<a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">4</span>{<a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">2&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
156
<a name="l00124"></a>00124     ((<a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">3&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
157
<a name="l00125"></a>00125     ((<a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a>[<span class="vhdllogic">4</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">2</span>{<a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">4&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
158
<a name="l00126"></a>00126     ((<a class="code" href="classdrv__audio.html#ae5b2726a793dbe5b7b9ae0089ceed778">volume2f</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">1</span>{<a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#a25fe047a24f0c9d11ea75f55e9e8f847">sample2f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">5&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>);
159
<a name="l00127"></a>00127
160
<a name="l00128"></a><a class="code" href="classdrv__audio.html#a8fe985743dd65a76990dbc42db03e6b0">00128</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">13</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a8fe985743dd65a76990dbc42db03e6b0">mult_right_0</a>;
161
<a name="l00129"></a>00129 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a8fe985743dd65a76990dbc42db03e6b0">mult_right_0</a> =
162
<a name="l00130"></a>00130     ((<a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">6</span>{<a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] } : <span class="vhdllogic">14&#39;d0</span>) +
163
<a name="l00131"></a>00131     ((<a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
164
<a name="l00132"></a>00132     ((<a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">4</span>{<a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">2&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
165
<a name="l00133"></a>00133     ((<a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">3&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
166
<a name="l00134"></a>00134     ((<a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a>[<span class="vhdllogic">4</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">2</span>{<a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">4&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
167
<a name="l00135"></a>00135     ((<a class="code" href="classdrv__audio.html#a2487715a6826ca8c2568a81ec213759f">volume0f</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">1</span>{<a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#ae7f0c61086535f43cd5f4f82c55b83c1">sample0f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">5&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>);
168
<a name="l00136"></a>00136
169
<a name="l00137"></a><a class="code" href="classdrv__audio.html#a2ca90a0535d47717ac384894d7a65f44">00137</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">13</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a2ca90a0535d47717ac384894d7a65f44">mult_right_3</a>;
170
<a name="l00138"></a>00138 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a2ca90a0535d47717ac384894d7a65f44">mult_right_3</a> =
171
<a name="l00139"></a>00139     ((<a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">6</span>{<a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] } : <span class="vhdllogic">14&#39;d0</span>) +
172
<a name="l00140"></a>00140     ((<a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
173
<a name="l00141"></a>00141     ((<a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">4</span>{<a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">2&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
174
<a name="l00142"></a>00142     ((<a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">3&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
175
<a name="l00143"></a>00143     ((<a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a>[<span class="vhdllogic">4</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">2</span>{<a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">4&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>) +
176
<a name="l00144"></a>00144     ((<a class="code" href="classdrv__audio.html#a9932509678fa32b43be31462b0a835ff">volume3f</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>)? { {<span class="vhdllogic">1</span>{<a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>]}}, <a class="code" href="classdrv__audio.html#af7250045b493ffa3f941692f6d9139f5">sample3f</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">5&#39;b0</span> } : <span class="vhdllogic">14&#39;d0</span>);
177
<a name="l00145"></a>00145
178
<a name="l00146"></a><a class="code" href="classdrv__audio.html#ac15e83eb46d27f08ec7acf4273f853c2">00146</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">14</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ac15e83eb46d27f08ec7acf4273f853c2">left_channel</a>;
179
<a name="l00147"></a>00147 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#ac15e83eb46d27f08ec7acf4273f853c2">left_channel</a> = { <a class="code" href="classdrv__audio.html#a32d7b3633b34fb5754e19a9ecbbc7461">mult_left_1</a>[<span class="vhdllogic">13</span>], <a class="code" href="classdrv__audio.html#a32d7b3633b34fb5754e19a9ecbbc7461">mult_left_1</a> } + { <a class="code" href="classdrv__audio.html#a4cb67941760c251c8610e67dcf1a846f">mult_left_2</a>[<span class="vhdllogic">13</span>], <a class="code" href="classdrv__audio.html#a4cb67941760c251c8610e67dcf1a846f">mult_left_2</a> };
180
<a name="l00148"></a>00148
181
<a name="l00149"></a><a class="code" href="classdrv__audio.html#a323850fac854510276acfd88c1df2013">00149</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">14</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a323850fac854510276acfd88c1df2013">right_channel</a>;
182
<a name="l00150"></a>00150 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a323850fac854510276acfd88c1df2013">right_channel</a> = { <a class="code" href="classdrv__audio.html#a8fe985743dd65a76990dbc42db03e6b0">mult_right_0</a>[<span class="vhdllogic">13</span>], <a class="code" href="classdrv__audio.html#a8fe985743dd65a76990dbc42db03e6b0">mult_right_0</a> } + { <a class="code" href="classdrv__audio.html#a2ca90a0535d47717ac384894d7a65f44">mult_right_3</a>[<span class="vhdllogic">13</span>], <a class="code" href="classdrv__audio.html#a2ca90a0535d47717ac384894d7a65f44">mult_right_3</a> };
183
<a name="l00151"></a>00151
184
<a name="l00152"></a>00152 <span class="keyword">/* Butterworth second order low-pass filter, cut-off = 3.3 kHz, sampling rate = 48 kHz</span>
185
<a name="l00153"></a>00153 <span class="keyword">    y_n =</span>
186
<a name="l00154"></a>00154 <span class="keyword">            1.4014      y_n1</span>
187
<a name="l00155"></a>00155 <span class="keyword">            -0.5432     y_n2</span>
188
<a name="l00156"></a>00156 <span class="keyword">            0.0354      x_n</span>
189
<a name="l00157"></a>00157 <span class="keyword">            0.0709      x_n1</span>
190
<a name="l00158"></a>00158 <span class="keyword">            0.0354      x_n2</span>
191
<a name="l00159"></a>00159 <span class="keyword">    Coefficients * 2^14:</span>
192
<a name="l00160"></a>00160 <span class="keyword">            1.4014  -&gt;  22961           =   1.01100110110001</span>
193
<a name="l00161"></a>00161 <span class="keyword">            -0.5432 -&gt;  -8900           =  -0.10001011000100</span>
194
<a name="l00162"></a>00162 <span class="keyword">            0.0354  -&gt;  580             =   0.10010001000000</span>
195
<a name="l00163"></a>00163 <span class="keyword">            0.0709  -&gt;  1162            =   0.10010001010000</span>
196
<a name="l00164"></a>00164 <span class="keyword">            0.0354  -&gt;  580             =   0.10010001000000</span>
197
<a name="l00165"></a>00165 <span class="keyword">*/</span>
198
<a name="l00166"></a>00166
199
<a name="l00167"></a><a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">00167</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>;
200
<a name="l00168"></a>00168 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a> = { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#ac15e83eb46d27f08ec7acf4273f853c2">left_channel</a>[<span class="vhdllogic">14</span>]}}, <a class="code" href="classdrv__audio.html#ac15e83eb46d27f08ec7acf4273f853c2">left_channel</a>, <span class="vhdllogic">14&#39;d0</span> };
201
<a name="l00169"></a>00169
202
<a name="l00170"></a><a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">00170</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>;
203
<a name="l00171"></a>00171 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a> = { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#a323850fac854510276acfd88c1df2013">right_channel</a>[<span class="vhdllogic">14</span>]}}, <a class="code" href="classdrv__audio.html#a323850fac854510276acfd88c1df2013">right_channel</a>, <span class="vhdllogic">14&#39;d0</span> };
204
<a name="l00172"></a>00172
205
<a name="l00173"></a><a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">00173</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>;
206
<a name="l00174"></a><a class="code" href="classdrv__audio.html#a6c39c0233ca1aa3bb56c28594a1806db">00174</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a6c39c0233ca1aa3bb56c28594a1806db">ly_n2</a>;
207
<a name="l00175"></a><a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">00175</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>;
208
<a name="l00176"></a><a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">00176</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>;
209
<a name="l00177"></a>00177
210
<a name="l00178"></a><a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">00178</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>;
211
<a name="l00179"></a><a class="code" href="classdrv__audio.html#a419fd6ff4e0c8202fda49d41465b6051">00179</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a419fd6ff4e0c8202fda49d41465b6051">ry_n2</a>;
212
<a name="l00180"></a><a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">00180</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>;
213
<a name="l00181"></a><a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">00181</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>;
214
<a name="l00182"></a>00182
215
<a name="l00183"></a><a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">00183</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>;
216
<a name="l00184"></a>00184 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a> = -<a class="code" href="classdrv__audio.html#a6c39c0233ca1aa3bb56c28594a1806db">ly_n2</a>;
217
<a name="l00185"></a>00185
218
<a name="l00186"></a><a class="code" href="classdrv__audio.html#a0a83ccd141355b678587a04a5eb58c26">00186</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a0a83ccd141355b678587a04a5eb58c26">ly_n</a>;
219
<a name="l00187"></a>00187 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a0a83ccd141355b678587a04a5eb58c26">ly_n</a> =
220
<a name="l00188"></a>00188     <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a> +
221
<a name="l00189"></a>00189     { {<span class="vhdllogic">2</span>{<a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] } +
222
<a name="l00190"></a>00190     { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">3</span>] } +
223
<a name="l00191"></a>00191     { {<span class="vhdllogic">6</span>{<a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">6</span>] } +
224
<a name="l00192"></a>00192     { {<span class="vhdllogic">7</span>{<a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">7</span>] } +
225
<a name="l00193"></a>00193     { {<span class="vhdllogic">9</span>{<a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">9</span>] } +
226
<a name="l00194"></a>00194     { {<span class="vhdllogic">10</span>{<a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">10</span>] } +
227
<a name="l00195"></a>00195     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
228
<a name="l00196"></a>00196
229
<a name="l00197"></a>00197     { {<span class="vhdllogic">1</span>{<a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">1</span>] } +
230
<a name="l00198"></a>00198     { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">5</span>] } +
231
<a name="l00199"></a>00199     { {<span class="vhdllogic">7</span>{<a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">7</span>] } +
232
<a name="l00200"></a>00200     { {<span class="vhdllogic">8</span>{<a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">8</span>] } +
233
<a name="l00201"></a>00201     { {<span class="vhdllogic">13</span>{<a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">13</span>] } +
234
<a name="l00202"></a>00202     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a36730052f5296bf0ab65f9c4c7203fad">minus_ly_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
235
<a name="l00203"></a>00203
236
<a name="l00204"></a>00204     { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">5</span>] } +
237
<a name="l00205"></a>00205     { {<span class="vhdllogic">8</span>{<a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">8</span>] } +
238
<a name="l00206"></a>00206     { {<span class="vhdllogic">12</span>{<a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">12</span>] } +
239
<a name="l00207"></a>00207     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
240
<a name="l00208"></a>00208
241
<a name="l00209"></a>00209     { {<span class="vhdllogic">4</span>{<a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">4</span>] } +
242
<a name="l00210"></a>00210     { {<span class="vhdllogic">7</span>{<a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">7</span>] } +
243
<a name="l00211"></a>00211     { {<span class="vhdllogic">11</span>{<a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">11</span>] } +
244
<a name="l00212"></a>00212     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
245
<a name="l00213"></a>00213
246
<a name="l00214"></a>00214     { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">5</span>] } +
247
<a name="l00215"></a>00215     { {<span class="vhdllogic">8</span>{<a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">8</span>] } +
248
<a name="l00216"></a>00216     { {<span class="vhdllogic">12</span>{<a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">12</span>] } +
249
<a name="l00217"></a>00217     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] };
250
<a name="l00218"></a>00218
251
<a name="l00219"></a><a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">00219</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>;
252
<a name="l00220"></a>00220 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a> = -<a class="code" href="classdrv__audio.html#a419fd6ff4e0c8202fda49d41465b6051">ry_n2</a>;
253
<a name="l00221"></a>00221
254
<a name="l00222"></a><a class="code" href="classdrv__audio.html#a655a482bd8741d711dd309a2a821dff4">00222</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a655a482bd8741d711dd309a2a821dff4">ry_n</a>;
255
<a name="l00223"></a>00223 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__audio.html#a655a482bd8741d711dd309a2a821dff4">ry_n</a> =
256
<a name="l00224"></a>00224     <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a> +
257
<a name="l00225"></a>00225     { {<span class="vhdllogic">2</span>{<a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] } +
258
<a name="l00226"></a>00226     { {<span class="vhdllogic">3</span>{<a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">3</span>] } +
259
<a name="l00227"></a>00227     { {<span class="vhdllogic">6</span>{<a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">6</span>] } +
260
<a name="l00228"></a>00228     { {<span class="vhdllogic">7</span>{<a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">7</span>] } +
261
<a name="l00229"></a>00229     { {<span class="vhdllogic">9</span>{<a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">9</span>] } +
262
<a name="l00230"></a>00230     { {<span class="vhdllogic">10</span>{<a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">10</span>] } +
263
<a name="l00231"></a>00231     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
264
<a name="l00232"></a>00232
265
<a name="l00233"></a>00233     { {<span class="vhdllogic">1</span>{<a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">1</span>] } +
266
<a name="l00234"></a>00234     { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">5</span>] } +
267
<a name="l00235"></a>00235     { {<span class="vhdllogic">7</span>{<a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">7</span>] } +
268
<a name="l00236"></a>00236     { {<span class="vhdllogic">8</span>{<a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">8</span>] } +
269
<a name="l00237"></a>00237     { {<span class="vhdllogic">13</span>{<a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">13</span>] } +
270
<a name="l00238"></a>00238     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a9aa4b8b7a3794c387524d157e8515729">minus_ry_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
271
<a name="l00239"></a>00239
272
<a name="l00240"></a>00240     { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">5</span>] } +
273
<a name="l00241"></a>00241     { {<span class="vhdllogic">8</span>{<a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">8</span>] } +
274
<a name="l00242"></a>00242     { {<span class="vhdllogic">12</span>{<a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">12</span>] } +
275
<a name="l00243"></a>00243     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
276
<a name="l00244"></a>00244
277
<a name="l00245"></a>00245     { {<span class="vhdllogic">4</span>{<a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">4</span>] } +
278
<a name="l00246"></a>00246     { {<span class="vhdllogic">7</span>{<a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">7</span>] } +
279
<a name="l00247"></a>00247     { {<span class="vhdllogic">11</span>{<a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">11</span>] } +
280
<a name="l00248"></a>00248     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] } +
281
<a name="l00249"></a>00249
282
<a name="l00250"></a>00250     { {<span class="vhdllogic">5</span>{<a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">5</span>] } +
283
<a name="l00251"></a>00251     { {<span class="vhdllogic">8</span>{<a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">8</span>] } +
284
<a name="l00252"></a>00252     { {<span class="vhdllogic">12</span>{<a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">12</span>] } +
285
<a name="l00253"></a>00253     { {<span class="vhdllogic">14</span>{<a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>]}}, <a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">14</span>] };
286
<a name="l00254"></a>00254
287
<a name="l00255"></a><a class="code" href="classdrv__audio.html#a536b4c0078f2b7f2a76893b4b51bead0">00255</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__audio.html#a82aa89e831131841dcc2f484cf5a43be">clk_12</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__audio.html#a31e1c30ba7812ad59ba6a206d099ffee">reset_n</a>) <span class="vhdlkeyword">begin</span>
288
<a name="l00256"></a>00256     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a31e1c30ba7812ad59ba6a206d099ffee">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
289
<a name="l00257"></a>00257         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a>        &lt;= <span class="vhdllogic">8&#39;d0</span>;
290
<a name="l00258"></a>00258         <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a>   &lt;= <span class="vhdllogic">32&#39;d0</span>;
291
<a name="l00259"></a>00259         <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a>             &lt;= <span class="vhdllogic">1&#39;b0</span>;
292
<a name="l00260"></a>00260         <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a>               &lt;= <span class="vhdllogic">1&#39;b0</span>;
293
<a name="l00261"></a>00261
294
<a name="l00262"></a>00262         <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
295
<a name="l00263"></a>00263         <a class="code" href="classdrv__audio.html#a6c39c0233ca1aa3bb56c28594a1806db">ly_n2</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
296
<a name="l00264"></a>00264         <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
297
<a name="l00265"></a>00265         <a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
298
<a name="l00266"></a>00266         <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
299
<a name="l00267"></a>00267         <a class="code" href="classdrv__audio.html#a419fd6ff4e0c8202fda49d41465b6051">ry_n2</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
300
<a name="l00268"></a>00268         <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
301
<a name="l00269"></a>00269         <a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
302
<a name="l00270"></a>00270     <span class="vhdlkeyword">end</span>
303
<a name="l00271"></a>00271     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> == <span class="vhdllogic">8&#39;d0</span> &amp;&amp; <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a565a121973b864c57f84f2a1994e05da">S_READY</a>) <span class="vhdlkeyword">begin</span>
304
<a name="l00272"></a>00272         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> + <span class="vhdllogic">8&#39;d1</span>;
305
<a name="l00273"></a>00273         <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a> &lt;= { <a class="code" href="classdrv__audio.html#a0a83ccd141355b678587a04a5eb58c26">ly_n</a>[<span class="vhdllogic">29</span>:<span class="vhdllogic">14</span>],  <a class="code" href="classdrv__audio.html#a655a482bd8741d711dd309a2a821dff4">ry_n</a>[<span class="vhdllogic">29</span>:<span class="vhdllogic">14</span>] };
306
<a name="l00274"></a>00274         <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
307
<a name="l00275"></a>00275         <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
308
<a name="l00276"></a>00276
309
<a name="l00277"></a>00277         <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a> &lt;= <a class="code" href="classdrv__audio.html#a0a83ccd141355b678587a04a5eb58c26">ly_n</a>;
310
<a name="l00278"></a>00278         <a class="code" href="classdrv__audio.html#a6c39c0233ca1aa3bb56c28594a1806db">ly_n2</a> &lt;= <a class="code" href="classdrv__audio.html#ab523b359492577b640b9f4152f7b08a2">ly_n1</a>;
311
<a name="l00279"></a>00279         <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a> &lt;= <a class="code" href="classdrv__audio.html#a58189f84b7221500303201a8208abf68">lx_n</a>;
312
<a name="l00280"></a>00280         <a class="code" href="classdrv__audio.html#ae03647729399e591be9e858110930c31">lx_n2</a> &lt;= <a class="code" href="classdrv__audio.html#a9fd27d89547276f1b76f682fb3f92974">lx_n1</a>;
313
<a name="l00281"></a>00281         <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a> &lt;= <a class="code" href="classdrv__audio.html#a655a482bd8741d711dd309a2a821dff4">ry_n</a>;
314
<a name="l00282"></a>00282         <a class="code" href="classdrv__audio.html#a419fd6ff4e0c8202fda49d41465b6051">ry_n2</a> &lt;= <a class="code" href="classdrv__audio.html#ac8a6909e34b5ce22fecdf3439833e600">ry_n1</a>;
315
<a name="l00283"></a>00283         <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a> &lt;= <a class="code" href="classdrv__audio.html#a7b045dbb8bc6afb90b8385235cebba8d">rx_n</a>;
316
<a name="l00284"></a>00284         <a class="code" href="classdrv__audio.html#a63e63647a6688ab78cb576ac1e60d2f6">rx_n2</a> &lt;= <a class="code" href="classdrv__audio.html#a8896b8d86dc61be2805b86f5324d6795">rx_n1</a>;
317
<a name="l00285"></a>00285
318
<a name="l00286"></a>00286     <span class="vhdlkeyword">end</span>
319
<a name="l00287"></a>00287     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> == <span class="vhdllogic">8&#39;d1</span>) <span class="vhdlkeyword">begin</span>
320
<a name="l00288"></a>00288         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> + <span class="vhdllogic">8&#39;d1</span>;
321
<a name="l00289"></a>00289         <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
322
<a name="l00290"></a>00290         <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
323
<a name="l00291"></a>00291     <span class="vhdlkeyword">end</span>
324
<a name="l00292"></a>00292     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &gt;= <span class="vhdllogic">8&#39;d127</span> &amp;&amp; <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <span class="vhdllogic">8&#39;d248</span>) <span class="vhdlkeyword">begin</span>
325
<a name="l00293"></a>00293         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> + <span class="vhdllogic">8&#39;d1</span>;
326
<a name="l00294"></a>00294         <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a> &lt;= { <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a>[<span class="vhdllogic">30</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> };
327
<a name="l00295"></a>00295         <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
328
<a name="l00296"></a>00296         <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
329
<a name="l00297"></a>00297     <span class="vhdlkeyword">end</span>
330
<a name="l00298"></a>00298     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> == <span class="vhdllogic">8&#39;d249</span>) <span class="vhdlkeyword">begin</span>
331
<a name="l00299"></a>00299         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <span class="vhdllogic">8&#39;d0</span>;
332
<a name="l00300"></a>00300         <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
333
<a name="l00301"></a>00301         <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
334
<a name="l00302"></a>00302     <span class="vhdlkeyword">end</span>
335
<a name="l00303"></a>00303     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">2&#39;b11</span>) <span class="vhdlkeyword">begin</span>
336
<a name="l00304"></a>00304         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> + <span class="vhdllogic">8&#39;d1</span>;
337
<a name="l00305"></a>00305         <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a> &lt;= { <a class="code" href="classdrv__audio.html#a5dbf59270f992412d7d3ff03a24ce657">left_right_sample</a>[<span class="vhdllogic">30</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> };
338
<a name="l00306"></a>00306         <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
339
<a name="l00307"></a>00307         <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
340
<a name="l00308"></a>00308     <span class="vhdlkeyword">end</span>
341
<a name="l00309"></a>00309     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">2&#39;b01</span>) <span class="vhdlkeyword">begin</span>
342
<a name="l00310"></a>00310         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> + <span class="vhdllogic">8&#39;d1</span>;
343
<a name="l00311"></a>00311         <a class="code" href="classdrv__audio.html#a25d2e9a7059013f2ae7a281d729a7979">ac_bclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
344
<a name="l00312"></a>00312         <a class="code" href="classdrv__audio.html#a0fb0297ccc93f879e1944a914a271bf8">ac_lr</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
345
<a name="l00313"></a>00313     <span class="vhdlkeyword">end</span>
346
<a name="l00314"></a>00314     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> != <span class="vhdllogic">8&#39;d0</span> &amp;&amp; <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a565a121973b864c57f84f2a1994e05da">S_READY</a>) <span class="vhdlkeyword">begin</span>
347
<a name="l00315"></a>00315         <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> &lt;= <a class="code" href="classdrv__audio.html#afefa13b53f75cae5e68c7d2ef36d3666">data_counter</a> + <span class="vhdllogic">8&#39;d1</span>;
348
<a name="l00316"></a>00316     <span class="vhdlkeyword">end</span>
349
<a name="l00317"></a>00317 <span class="vhdlkeyword">end</span>
350
<a name="l00318"></a>00318
351
<a name="l00319"></a><a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">00319</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a>;
352
<a name="l00320"></a><a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">00320</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a>;
353
<a name="l00321"></a><a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">00321</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a>;
354
<a name="l00322"></a>00322
355
<a name="l00323"></a><a class="code" href="classdrv__audio.html#a565a121973b864c57f84f2a1994e05da">00323</a> <span class="vhdlkeyword">parameter</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]
356
<a name="l00324"></a>00324     <a class="code" href="classdrv__audio.html#a1709da1ff908d450d5267e16456465e9">S_IDLE</a>      = <span class="vhdllogic">4&#39;d0</span>,
357
<a name="l00325"></a>00325     <a class="code" href="classdrv__audio.html#a95d20905bec24ea08f039eb9ff4ee75c">S_RESET</a>     = <span class="vhdllogic">4&#39;d1</span>,
358
<a name="l00326"></a>00326     <a class="code" href="classdrv__audio.html#a802f424a3192572d6901427d805b1fd6">S_POWER</a>     = <span class="vhdllogic">4&#39;d2</span>,
359
<a name="l00327"></a>00327     <a class="code" href="classdrv__audio.html#acdbbbe3793b7b0642746079a4fcf18a1">S_OUTPUT</a>    = <span class="vhdllogic">4&#39;d3</span>,
360
<a name="l00328"></a>00328     <a class="code" href="classdrv__audio.html#aee33f8a4455520899e7fbc71091c4555">S_SIDE</a>      = <span class="vhdllogic">4&#39;d4</span>,
361
<a name="l00329"></a>00329     <a class="code" href="classdrv__audio.html#a5f83e96ca0de5a012966f91f2dbb8b9c">S_EMPH</a>      = <span class="vhdllogic">4&#39;d5</span>,
362
<a name="l00330"></a>00330     <a class="code" href="classdrv__audio.html#ac41661d7bdcbdd6bb146d4e6b27abadc">S_FORMAT</a>    = <span class="vhdllogic">4&#39;d6</span>,
363
<a name="l00331"></a>00331     <a class="code" href="classdrv__audio.html#a41dff9548db2546ee6b0f6a0c6ad9a6b">S_SAMPLING</a>  = <span class="vhdllogic">4&#39;d7</span>,
364
<a name="l00332"></a>00332     <a class="code" href="classdrv__audio.html#a047adeaf9774957fa7c6cedf84086f9d">S_ACTIVATE</a>  = <span class="vhdllogic">4&#39;d8</span>,
365
<a name="l00333"></a>00333     <a class="code" href="classdrv__audio.html#a565a121973b864c57f84f2a1994e05da">S_READY</a>     = <span class="vhdllogic">4&#39;d9</span>;
366
<a name="l00334"></a>00334
367
<a name="l00335"></a><a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">00335</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a>;
368
<a name="l00336"></a>00336
369
<a name="l00337"></a><a class="code" href="classdrv__audio.html#ab36e8a373c3b5a8855233dc83b7bfda9">00337</a> <a class="code" href="classdrv__audio.html#ab36e8a373c3b5a8855233dc83b7bfda9">i2c_send</a> <span class="vhdlchar">i2c_send_inst</span>(
370
<a name="l00338"></a>00338     .<a class="code" href="classi2c__send.html#a708e17b47daabe129d5eaed04a65a171">drv_audio</a>(<a class="code" href="classi2c__send.html#a708e17b47daabe129d5eaed04a65a171">drv_audio</a>),
371
<a name="l00339"></a>00339     .<a class="code" href="classi2c__send.html#a733ad86e63e20bed173765f0b2437491">drv_audio</a>(<a class="code" href="classi2c__send.html#a733ad86e63e20bed173765f0b2437491">drv_audio</a>),
372
<a name="l00340"></a>00340
373
<a name="l00341"></a>00341     .<a class="code" href="classi2c__send.html#a16719abf155262c304efda302dd694d3">drv_audio</a>(<a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a>),
374
<a name="l00342"></a>00342     .<a class="code" href="classi2c__send.html#a5e9f220fccef726ea8bf52ef4a34aa6d">drv_audio</a>(<a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a>),
375
<a name="l00343"></a>00343     .<a class="code" href="classi2c__send.html#a0709a0805abdbb4fb5a5cc1374822918">drv_audio</a>(<a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a>),
376
<a name="l00344"></a>00344
377
<a name="l00345"></a>00345     .<a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">drv_audio</a>(<a class="code" href="classdrv__audio.html#a638f5df1565b37c1dfee462766e994fb">ac_sclk</a>),
378
<a name="l00346"></a>00346     .<a class="code" href="classi2c__send.html#a2523d55da99a5f7ea41f42f075da759a">drv_audio</a>(<a class="code" href="classdrv__audio.html#a614103e1dff866ce70f61b7a0f946e00">ac_sdat</a>)
379
<a name="l00347"></a>00347 );
380
<a name="l00348"></a>00348
381
<a name="l00349"></a><a class="code" href="classdrv__audio.html#ab816749b8396685abedeb5c57fb8f6ed">00349</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__audio.html#a82aa89e831131841dcc2f484cf5a43be">clk_12</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__audio.html#a31e1c30ba7812ad59ba6a206d099ffee">reset_n</a>) <span class="vhdlkeyword">begin</span>
382
<a name="l00350"></a>00350     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a31e1c30ba7812ad59ba6a206d099ffee">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
383
<a name="l00351"></a>00351         <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
384
<a name="l00352"></a>00352         <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;d0</span>;
385
<a name="l00353"></a>00353         <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#a1709da1ff908d450d5267e16456465e9">S_IDLE</a>;
386
<a name="l00354"></a>00354     <span class="vhdlkeyword">end</span>
387
<a name="l00355"></a>00355     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
388
<a name="l00356"></a>00356         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a1709da1ff908d450d5267e16456465e9">S_IDLE</a>) <span class="vhdlkeyword">begin</span>
389
<a name="l00357"></a>00357             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
390
<a name="l00358"></a>00358             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0001111_000000000</span>;
391
<a name="l00359"></a>00359             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#a95d20905bec24ea08f039eb9ff4ee75c">S_RESET</a>;
392
<a name="l00360"></a>00360         <span class="vhdlkeyword">end</span>
393
<a name="l00361"></a>00361         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a95d20905bec24ea08f039eb9ff4ee75c">S_RESET</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
394
<a name="l00362"></a>00362             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
395
<a name="l00363"></a>00363             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0000110_001100111</span>; <span class="keyword">// power down unused parts</span>
396
<a name="l00364"></a>00364             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#a802f424a3192572d6901427d805b1fd6">S_POWER</a>;
397
<a name="l00365"></a>00365         <span class="vhdlkeyword">end</span>
398
<a name="l00366"></a>00366         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a802f424a3192572d6901427d805b1fd6">S_POWER</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
399
<a name="l00367"></a>00367             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
400
<a name="l00368"></a>00368             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0000010_101111001</span>; <span class="keyword">// 0dB headphone output</span>
401
<a name="l00369"></a>00369             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#acdbbbe3793b7b0642746079a4fcf18a1">S_OUTPUT</a>;
402
<a name="l00370"></a>00370         <span class="vhdlkeyword">end</span>
403
<a name="l00371"></a>00371         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#acdbbbe3793b7b0642746079a4fcf18a1">S_OUTPUT</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
404
<a name="l00372"></a>00372             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
405
<a name="l00373"></a>00373             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0000100_011010010</span>; <span class="keyword">// DAC select</span>
406
<a name="l00374"></a>00374             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#aee33f8a4455520899e7fbc71091c4555">S_SIDE</a>;
407
<a name="l00375"></a>00375         <span class="vhdlkeyword">end</span>
408
<a name="l00376"></a>00376         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#aee33f8a4455520899e7fbc71091c4555">S_SIDE</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
409
<a name="l00377"></a>00377             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
410
<a name="l00378"></a>00378             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0000101_000000011</span>; <span class="keyword">// disable mute, 32kHz de-emphasis</span>
411
<a name="l00379"></a>00379             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#a5f83e96ca0de5a012966f91f2dbb8b9c">S_EMPH</a>;
412
<a name="l00380"></a>00380         <span class="vhdlkeyword">end</span>
413
<a name="l00381"></a>00381         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a5f83e96ca0de5a012966f91f2dbb8b9c">S_EMPH</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
414
<a name="l00382"></a>00382             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
415
<a name="l00383"></a>00383             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0000111_000000011</span>; <span class="keyword">// DSP mode</span>
416
<a name="l00384"></a>00384             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#ac41661d7bdcbdd6bb146d4e6b27abadc">S_FORMAT</a>;
417
<a name="l00385"></a>00385         <span class="vhdlkeyword">end</span>
418
<a name="l00386"></a>00386         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#ac41661d7bdcbdd6bb146d4e6b27abadc">S_FORMAT</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
419
<a name="l00387"></a>00387             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
420
<a name="l00388"></a>00388             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0001000_000000001</span>; <span class="keyword">// USB mode, 12MHz, 48 kHz</span>
421
<a name="l00389"></a>00389             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#a41dff9548db2546ee6b0f6a0c6ad9a6b">S_SAMPLING</a>;
422
<a name="l00390"></a>00390         <span class="vhdlkeyword">end</span>
423
<a name="l00391"></a>00391         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a41dff9548db2546ee6b0f6a0c6ad9a6b">S_SAMPLING</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
424
<a name="l00392"></a>00392             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
425
<a name="l00393"></a>00393             <a class="code" href="classdrv__audio.html#a125a47daeaea8237666189d86530d351">i2c_data</a> &lt;= <span class="vhdllogic">16&#39;b0001001_000000001</span>;
426
<a name="l00394"></a>00394             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#a047adeaf9774957fa7c6cedf84086f9d">S_ACTIVATE</a>;
427
<a name="l00395"></a>00395         <span class="vhdlkeyword">end</span>
428
<a name="l00396"></a>00396         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> == <a class="code" href="classdrv__audio.html#a047adeaf9774957fa7c6cedf84086f9d">S_ACTIVATE</a> &amp;&amp; <a class="code" href="classdrv__audio.html#af9849620149dda75f73a8836f71b556c">i2c_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
429
<a name="l00397"></a>00397             <a class="code" href="classdrv__audio.html#a2102d745447942ac039a093eb5df35a2">state</a> &lt;= <a class="code" href="classdrv__audio.html#a565a121973b864c57f84f2a1994e05da">S_READY</a>;
430
<a name="l00398"></a>00398         <span class="vhdlkeyword">end</span>
431
<a name="l00399"></a>00399         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
432
<a name="l00400"></a>00400             <a class="code" href="classdrv__audio.html#ac44d4fc310441c51ffe6934ded980ac6">i2c_start</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
433
<a name="l00401"></a>00401         <span class="vhdlkeyword">end</span>
434
<a name="l00402"></a>00402     <span class="vhdlkeyword">end</span>
435
<a name="l00403"></a>00403 <span class="vhdlkeyword">end</span>
436
<a name="l00404"></a>00404
437
<a name="l00405"></a>00405 <span class="vhdlkeyword">endmodule</span>
438
<a name="l00406"></a>00406
439
<a name="l00407"></a>00407 <span class="keyword">/*! \brief I2C write helper module.</span>
440
<a name="l00408"></a>00408 <span class="keyword"> */</span>
441
<a name="l00409"></a><a class="code" href="classi2c__send.html">00409</a> <span class="vhdlkeyword">module</span> <a class="code" href="classdrv__audio.html#ab36e8a373c3b5a8855233dc83b7bfda9">i2c_send</a>(
442
<a name="l00410"></a><a class="code" href="classi2c__send.html#a708e17b47daabe129d5eaed04a65a171">00410</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classi2c__send.html#a708e17b47daabe129d5eaed04a65a171">clk_12</a>,
443
<a name="l00411"></a><a class="code" href="classi2c__send.html#a733ad86e63e20bed173765f0b2437491">00411</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classi2c__send.html#a733ad86e63e20bed173765f0b2437491">reset_n</a>,
444
<a name="l00412"></a>00412
445
<a name="l00413"></a><a class="code" href="classi2c__send.html#a16719abf155262c304efda302dd694d3">00413</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classi2c__send.html#a16719abf155262c304efda302dd694d3">start</a>,
446
<a name="l00414"></a><a class="code" href="classi2c__send.html#a5e9f220fccef726ea8bf52ef4a34aa6d">00414</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classi2c__send.html#a5e9f220fccef726ea8bf52ef4a34aa6d">data</a>,
447
<a name="l00415"></a><a class="code" href="classi2c__send.html#a0709a0805abdbb4fb5a5cc1374822918">00415</a>     <span class="vhdlkeyword">output</span> <a class="code" href="classi2c__send.html#a0709a0805abdbb4fb5a5cc1374822918">ready</a>,
448
<a name="l00416"></a>00416
449
<a name="l00417"></a><a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">00417</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a>,
450
<a name="l00418"></a><a class="code" href="classi2c__send.html#a2523d55da99a5f7ea41f42f075da759a">00418</a>     <span class="vhdlkeyword">inout</span> <a class="code" href="classi2c__send.html#a2523d55da99a5f7ea41f42f075da759a">sdat</a>
451
<a name="l00419"></a>00419 );
452
<a name="l00420"></a>00420
453
<a name="l00421"></a>00421 <span class="vhdlkeyword">assign</span> <a class="code" href="classi2c__send.html#a0709a0805abdbb4fb5a5cc1374822918">ready</a> = (<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#a10fa4592b69de1bcc10bb94bbb93f3c4">S_IDLE</a> &amp;&amp; <a class="code" href="classi2c__send.html#a16719abf155262c304efda302dd694d3">start</a> == <span class="vhdllogic">1&#39;b0</span>);
454
<a name="l00422"></a>00422 <span class="vhdlkeyword">assign</span> <a class="code" href="classi2c__send.html#a2523d55da99a5f7ea41f42f075da759a">sdat</a> = (<a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a> == <span class="vhdllogic">1&#39;b0</span>)? <span class="vhdllogic">1&#39;bZ</span> : <a class="code" href="classi2c__send.html#a0daf8778e37a3182e0622fcc54e81c3d">sdat_o</a>;
455
<a name="l00423"></a>00423
456
<a name="l00424"></a><a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">00424</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a>;
457
<a name="l00425"></a><a class="code" href="classi2c__send.html#a0daf8778e37a3182e0622fcc54e81c3d">00425</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classi2c__send.html#a0daf8778e37a3182e0622fcc54e81c3d">sdat_o</a>;
458
<a name="l00426"></a><a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">00426</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a>;
459
<a name="l00427"></a><a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">00427</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a>;
460
<a name="l00428"></a><a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">00428</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] <a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">counter</a>;
461
<a name="l00429"></a><a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">00429</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a>;
462
<a name="l00430"></a><a class="code" href="classi2c__send.html#a4eca00d78060b52c12ccb542d4a5fd77">00430</a> <span class="vhdlkeyword">parameter</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]
463
<a name="l00431"></a>00431     <a class="code" href="classi2c__send.html#a10fa4592b69de1bcc10bb94bbb93f3c4">S_IDLE</a>      = <span class="vhdllogic">4&#39;d0</span>,
464
<a name="l00432"></a>00432     <a class="code" href="classi2c__send.html#a706d00c84416c536ff6777bf6c8b2ed0">S_SEND_0</a>    = <span class="vhdllogic">4&#39;d1</span>,
465
<a name="l00433"></a>00433     <a class="code" href="classi2c__send.html#a6d3e662cf5d33b8562eb869646336c67">S_SEND_1</a>    = <span class="vhdllogic">4&#39;d2</span>,
466
<a name="l00434"></a>00434     <a class="code" href="classi2c__send.html#af264e1965ad1ead47b51c5dc20373b95">S_SEND_2</a>    = <span class="vhdllogic">4&#39;d3</span>,
467
<a name="l00435"></a>00435     <a class="code" href="classi2c__send.html#a16fbc420a1c5d776c3e04e7d87c735c2">S_SEND_3</a>    = <span class="vhdllogic">4&#39;d4</span>,
468
<a name="l00436"></a>00436     <a class="code" href="classi2c__send.html#af20956405e91c860a5ac3475545a13c0">S_SEND_4</a>    = <span class="vhdllogic">4&#39;d5</span>,
469
<a name="l00437"></a>00437     <a class="code" href="classi2c__send.html#ace21a6633d5dded1bf1cc8ac9033a95c">S_END_0</a>     = <span class="vhdllogic">4&#39;d6</span>,
470
<a name="l00438"></a>00438     <a class="code" href="classi2c__send.html#a73ec731292d0a2cf2407cbf0c05e87ee">S_END_1</a>     = <span class="vhdllogic">4&#39;d7</span>,
471
<a name="l00439"></a>00439     <a class="code" href="classi2c__send.html#a4eca00d78060b52c12ccb542d4a5fd77">S_END_2</a>     = <span class="vhdllogic">4&#39;d8</span>;
472
<a name="l00440"></a>00440
473
<a name="l00441"></a><a class="code" href="classi2c__send.html#a04fc4157242c2a06a84cb64f086dfceb">00441</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classi2c__send.html#a708e17b47daabe129d5eaed04a65a171">clk_12</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classi2c__send.html#a733ad86e63e20bed173765f0b2437491">reset_n</a>) <span class="vhdlkeyword">begin</span>
474
<a name="l00442"></a>00442     <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a733ad86e63e20bed173765f0b2437491">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
475
<a name="l00443"></a>00443         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
476
<a name="l00444"></a>00444         <a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
477
<a name="l00445"></a>00445         <a class="code" href="classi2c__send.html#a0daf8778e37a3182e0622fcc54e81c3d">sdat_o</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
478
<a name="l00446"></a>00446         <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a> &lt;= <span class="vhdllogic">8&#39;d0</span>;
479
<a name="l00447"></a>00447         <a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> &lt;= <span class="vhdllogic">2&#39;b0</span>;
480
<a name="l00448"></a>00448         <a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">counter</a> &lt;= <span class="vhdllogic">3&#39;d0</span>;
481
<a name="l00449"></a>00449         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a10fa4592b69de1bcc10bb94bbb93f3c4">S_IDLE</a>;
482
<a name="l00450"></a>00450     <span class="vhdlkeyword">end</span>
483
<a name="l00451"></a>00451     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#a10fa4592b69de1bcc10bb94bbb93f3c4">S_IDLE</a> &amp;&amp; <a class="code" href="classi2c__send.html#a16719abf155262c304efda302dd694d3">start</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
484
<a name="l00452"></a>00452         <span class="keyword">// start</span>
485
<a name="l00453"></a>00453         <a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
486
<a name="l00454"></a>00454         <a class="code" href="classi2c__send.html#a0daf8778e37a3182e0622fcc54e81c3d">sdat_o</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
487
<a name="l00455"></a>00455         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
488
<a name="l00456"></a>00456
489
<a name="l00457"></a>00457         <a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> &lt;= <span class="vhdllogic">2&#39;b0</span>;
490
<a name="l00458"></a>00458         <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a> &lt;= <span class="vhdllogic">8&#39;b0011010_0</span>;
491
<a name="l00459"></a>00459         <a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">counter</a> &lt;= <span class="vhdllogic">3&#39;d7</span>;
492
<a name="l00460"></a>00460         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a706d00c84416c536ff6777bf6c8b2ed0">S_SEND_0</a>;
493
<a name="l00461"></a>00461     <span class="vhdlkeyword">end</span>
494
<a name="l00462"></a>00462     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#a706d00c84416c536ff6777bf6c8b2ed0">S_SEND_0</a>) <span class="vhdlkeyword">begin</span>
495
<a name="l00463"></a>00463         <a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
496
<a name="l00464"></a>00464         <a class="code" href="classi2c__send.html#a0daf8778e37a3182e0622fcc54e81c3d">sdat_o</a> &lt;= <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a>[<span class="vhdllogic">7</span>];
497
<a name="l00465"></a>00465         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
498
<a name="l00466"></a>00466         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a6d3e662cf5d33b8562eb869646336c67">S_SEND_1</a>;
499
<a name="l00467"></a>00467     <span class="vhdlkeyword">end</span>
500
<a name="l00468"></a>00468     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#a6d3e662cf5d33b8562eb869646336c67">S_SEND_1</a>) <span class="vhdlkeyword">begin</span>
501
<a name="l00469"></a>00469         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
502
<a name="l00470"></a>00470
503
<a name="l00471"></a>00471         <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">counter</a> == <span class="vhdllogic">3&#39;d0</span>) <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#af264e1965ad1ead47b51c5dc20373b95">S_SEND_2</a>;
504
<a name="l00472"></a>00472         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
505
<a name="l00473"></a>00473             <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a> &lt;= { <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> };
506
<a name="l00474"></a>00474             <a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">counter</a> &lt;= <a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">counter</a> - <span class="vhdllogic">3&#39;d1</span>;
507
<a name="l00475"></a>00475             <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a706d00c84416c536ff6777bf6c8b2ed0">S_SEND_0</a>;
508
<a name="l00476"></a>00476         <span class="vhdlkeyword">end</span>
509
<a name="l00477"></a>00477     <span class="vhdlkeyword">end</span>
510
<a name="l00478"></a>00478     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#af264e1965ad1ead47b51c5dc20373b95">S_SEND_2</a>) <span class="vhdlkeyword">begin</span>
511
<a name="l00479"></a>00479         <a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
512
<a name="l00480"></a>00480         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
513
<a name="l00481"></a>00481         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a16fbc420a1c5d776c3e04e7d87c735c2">S_SEND_3</a>;
514
<a name="l00482"></a>00482     <span class="vhdlkeyword">end</span>
515
<a name="l00483"></a>00483     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#a16fbc420a1c5d776c3e04e7d87c735c2">S_SEND_3</a>) <span class="vhdlkeyword">begin</span>
516
<a name="l00484"></a>00484         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
517
<a name="l00485"></a>00485         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#af20956405e91c860a5ac3475545a13c0">S_SEND_4</a>;
518
<a name="l00486"></a>00486     <span class="vhdlkeyword">end</span>
519
<a name="l00487"></a>00487     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#af20956405e91c860a5ac3475545a13c0">S_SEND_4</a> &amp;&amp; <a class="code" href="classi2c__send.html#a2523d55da99a5f7ea41f42f075da759a">sdat</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
520
<a name="l00488"></a>00488         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
521
<a name="l00489"></a>00489         <a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> &lt;= <a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> + <span class="vhdllogic">2&#39;b1</span>;
522
<a name="l00490"></a>00490         <a class="code" href="classi2c__send.html#a319cc4177782a5a9cc27ff23140b9a52">counter</a> &lt;= <span class="vhdllogic">3&#39;d7</span>;
523
<a name="l00491"></a>00491
524
<a name="l00492"></a>00492         <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> == <span class="vhdllogic">2&#39;d0</span>)        <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a> &lt;= <a class="code" href="classi2c__send.html#a5e9f220fccef726ea8bf52ef4a34aa6d">data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
525
<a name="l00493"></a>00493         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> == <span class="vhdllogic">2&#39;d1</span>)   <a class="code" href="classi2c__send.html#aaafed0ede4ac6da2a1e45b2f6223ecba">dat_byte</a> &lt;= <a class="code" href="classi2c__send.html#a5e9f220fccef726ea8bf52ef4a34aa6d">data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
526
<a name="l00494"></a>00494
527
<a name="l00495"></a>00495         <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> == <span class="vhdllogic">2&#39;d0</span> || <a class="code" href="classi2c__send.html#af8339b492e53e2a6502d087c1333ed38">part</a> == <span class="vhdllogic">2&#39;d1</span>)    <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a706d00c84416c536ff6777bf6c8b2ed0">S_SEND_0</a>;
528
<a name="l00496"></a>00496         <span class="vhdlkeyword">else</span>                                <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#ace21a6633d5dded1bf1cc8ac9033a95c">S_END_0</a>;
529
<a name="l00497"></a>00497     <span class="vhdlkeyword">end</span>
530
<a name="l00498"></a>00498     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#ace21a6633d5dded1bf1cc8ac9033a95c">S_END_0</a>) <span class="vhdlkeyword">begin</span>
531
<a name="l00499"></a>00499         <a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
532
<a name="l00500"></a>00500         <a class="code" href="classi2c__send.html#a0daf8778e37a3182e0622fcc54e81c3d">sdat_o</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
533
<a name="l00501"></a>00501         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
534
<a name="l00502"></a>00502         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a73ec731292d0a2cf2407cbf0c05e87ee">S_END_1</a>;
535
<a name="l00503"></a>00503     <span class="vhdlkeyword">end</span>
536
<a name="l00504"></a>00504     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#a73ec731292d0a2cf2407cbf0c05e87ee">S_END_1</a>) <span class="vhdlkeyword">begin</span>
537
<a name="l00505"></a>00505         <a class="code" href="classi2c__send.html#afc04233b8d978becb0c353e939c5f16d">sclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
538
<a name="l00506"></a>00506         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a4eca00d78060b52c12ccb542d4a5fd77">S_END_2</a>;
539
<a name="l00507"></a>00507     <span class="vhdlkeyword">end</span>
540
<a name="l00508"></a>00508     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> == <a class="code" href="classi2c__send.html#a4eca00d78060b52c12ccb542d4a5fd77">S_END_2</a>) <span class="vhdlkeyword">begin</span>
541
<a name="l00509"></a>00509         <span class="keyword">// end</span>
542
<a name="l00510"></a>00510         <a class="code" href="classi2c__send.html#af1d1ac48b90baec6cdd848444a6fcbc7">sdat_oe</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
543
<a name="l00511"></a>00511         <a class="code" href="classi2c__send.html#a14e7523ffb7550058292a2da684f1046">state</a> &lt;= <a class="code" href="classi2c__send.html#a10fa4592b69de1bcc10bb94bbb93f3c4">S_IDLE</a>;
544
<a name="l00512"></a>00512     <span class="vhdlkeyword">end</span>
545
<a name="l00513"></a>00513 <span class="vhdlkeyword">end</span>
546
<a name="l00514"></a>00514
547
<a name="l00515"></a>00515 <span class="vhdlkeyword">endmodule</span>
548
</pre></div></div>
549
</div>
550
<hr class="footer"/><address class="footer"><small>Generated on Mon Dec 20 2010 21:20:18 for aoOCS by&#160;
551
<a href="http://www.doxygen.org/index.html">
552
<img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.7.2 </small></address>
553
</body>
554
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.