OpenCores
URL https://opencores.org/ocsvn/apbtoaes128/apbtoaes128/trunk

Subversion Repositories apbtoaes128

[/] [apbtoaes128/] [trunk/] [pli/] [bfm_ctr/] [aes_bfm_derivation_decryption_dma_ctr.h] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 redbear
//////////////////////////////////////////////////////////////////
2
////
3
////
4
////    AES CORE BLOCK
5
////
6
////
7
////
8
//// This file is part of the APB to AES128 project
9
////
10
//// http://www.opencores.org/cores/apbtoaes128/
11
////
12
////
13
////
14
//// Description
15
////
16
//// Implementation of APB IP core according to
17
////
18
//// aes128_spec IP core specification document.
19
////
20
////
21
////
22
//// To Do: Things are right here but always all block can suffer changes
23
////
24
////
25
////
26
////
27
////
28
//// Author(s): - Felipe Fernandes Da Costa, fefe2560@gmail.com
29
////
30
///////////////////////////////////////////////////////////////// 
31
////
32
////
33
//// Copyright (C) 2009 Authors and OPENCORES.ORG
34
////
35
////
36
////
37
//// This source file may be used and distributed without
38
////
39
//// restriction provided that this copyright statement is not
40
////
41
//// removed from the file and that any derivative work contains
42
//// the original copyright notice and the associated disclaimer.
43
////
44
////
45
//// This source file is free software; you can redistribute it
46
////
47
//// and/or modify it under the terms of the GNU Lesser General
48
////
49
//// Public License as published by the Free Software Foundation;
50
//// either version 2.1 of the License, or (at your option) any
51
////
52
//// later version.
53
////
54
////
55
////
56
//// This source is distributed in the hope that it will be
57
////
58
//// useful, but WITHOUT ANY WARRANTY; without even the implied
59
////
60
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
61
////
62
//// PURPOSE. See the GNU Lesser General Public License for more
63
//// details.
64
////
65
////
66
////
67
//// You should have received a copy of the GNU Lesser General
68
////
69
//// Public License along with this source; if not, download it
70
////
71
//// from http://www.opencores.org/lgpl.shtml
72
////
73
////
74
///////////////////////////////////////////////////////////////////
75
static int aes_bfm_derivation_decryption_dma_ctr_calltf(char*user_data)
76
{
77
 
78
        vpiHandle PRESETn = vpi_handle_by_name("AES_GLADIC_tb.PRESETn", NULL);
79
        vpiHandle PWDATA = vpi_handle_by_name("AES_GLADIC_tb.PWDATA", NULL);
80
        vpiHandle PENABLE = vpi_handle_by_name("AES_GLADIC_tb.PENABLE", NULL);
81
        vpiHandle PSEL = vpi_handle_by_name("AES_GLADIC_tb.PSEL", NULL);
82
        vpiHandle PWRITE = vpi_handle_by_name("AES_GLADIC_tb.PWRITE", NULL);
83
        vpiHandle PADDR = vpi_handle_by_name("AES_GLADIC_tb.PADDR", NULL);
84
        vpiHandle PRDATA = vpi_handle_by_name("AES_GLADIC_tb.PRDATA", NULL);
85
        vpiHandle PREADY = vpi_handle_by_name("AES_GLADIC_tb.PREADY", NULL);
86
        vpiHandle PSLVERR = vpi_handle_by_name("AES_GLADIC_tb.PSLVERR", NULL);
87
        vpiHandle int_ccf = vpi_handle_by_name("AES_GLADIC_tb.int_ccf", NULL);
88
        vpiHandle int_err = vpi_handle_by_name("AES_GLADIC_tb.int_err", NULL);
89
        vpiHandle dma_req_wr = vpi_handle_by_name("AES_GLADIC_tb.dma_req_wr", NULL);
90
        vpiHandle dma_req_rd = vpi_handle_by_name("AES_GLADIC_tb.dma_req_rd", NULL);
91
 
92
        std::random_device rd;
93
        std::uniform_int_distribution<long int> data_in(0,4294967295);
94
 
95
        v_ecb.format=vpiIntVal;
96
 
97
        vpi_get_value(PRESETn, &v_ecb);
98
 
99
 
100
        //printf("%i\n",STATE);
101
 
102
 
103
        if(type_bfm == CTR_DERIVATION_DECRYPTION_DMA && v_ecb.value.integer == 1)
104
        {
105
 
106
                switch(STATE)
107
                {
108
 
109
                  case IDLE:
110
 
111
                                if(PACKETS_GENERATED >= MAX_ITERATIONS)
112
                                {
113
 
114
                                        STATE = IDLE;
115
                                        type_bfm = 0;
116
 
117
                                }else
118
                                {
119
                                        STATE = WRITE;
120
 
121
                                        counter = 0;
122
 
123
 
124
                                        v_ecb.value.integer = 0;
125
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
126
 
127
                                        v_ecb.value.integer = vector_address[0];
128
                                        vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
129
 
130
                                        v_ecb.value.integer = 0;
131
                                        vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);
132
 
133
                                        v_ecb.value.integer = 1;
134
                                        vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
135
 
136
                                        v_ecb.value.integer = 1;
137
                                        vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
138
                                }
139
 
140
                  break;
141
 
142
                  case WRITE:
143
                                if(counter == 0)
144
                                {
145
 
146
 
147
                                        counter_write++;
148
                                        counter++;
149
 
150
                                        v_ecb.value.integer = 1;
151
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
152
 
153
 
154
                                }else if(counter == 1)
155
                                {
156
 
157
                                        v_ecb.value.integer = 0;
158
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
159
 
160
 
161
 
162
                                        if(counter_write < 9)
163
                                        {
164
 
165
                                                v_ecb.value.integer = vector_address[counter_write];
166
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
167
 
168
                                                t_ecb.type = vpiScaledRealTime;
169
                                                t_ecb.real = 0;
170
                                                v_ecb.format=vpiIntVal;
171
                                                if(FIPS_ENABLE == FIPS)
172
                                                {
173
 
174
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR3)
175
                                                        {
176
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[0];
177
                                                                a = a << 8;
178
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[1];
179
                                                                a = a << 8;
180
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[2];
181
                                                                a = a << 8;
182
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[3];
183
                                                                v_ecb.value.integer = a;
184
                                                        }
185
 
186
 
187
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR2)
188
                                                        {
189
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[4];
190
                                                                b = b << 8;
191
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[5];
192
                                                                b = b << 8;
193
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[6];
194
                                                                b = b << 8;
195
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[7];
196
                                                                v_ecb.value.integer = b;
197
                                                        }
198
 
199
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR1)
200
                                                        {
201
 
202
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[8];
203
                                                                c = c << 8;
204
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[9];
205
                                                                c = c << 8;
206
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[10];
207
                                                                c = c << 8;
208
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[11];
209
                                                                v_ecb.value.integer = c;
210
 
211
                                                        }
212
 
213
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR0)
214
                                                        {
215
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[12];
216
                                                                d = d << 8;
217
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[13];
218
                                                                d = d << 8;
219
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[14];
220
                                                                d = d << 8;
221
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[15];
222
                                                                v_ecb.value.integer = d;
223
                                                        }
224
 
225
 
226
                                                        if(vector_address[counter_write] == ADDR_AES_IVR3)
227
                                                        {
228
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[0];
229
                                                                a = a << 8;
230
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[1];
231
                                                                a = a << 8;
232
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[2];
233
                                                                a = a << 8;
234
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[3];
235
                                                                v_ecb.value.integer = a;
236
                                                        }
237
 
238
 
239
                                                        if(vector_address[counter_write] == ADDR_AES_IVR2)
240
                                                        {
241
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[4];
242
                                                                b = b << 8;
243
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[5];
244
                                                                b = b << 8;
245
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[6];
246
                                                                b = b << 8;
247
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[7];
248
                                                                v_ecb.value.integer = b;
249
                                                        }
250
 
251
                                                        if(vector_address[counter_write] == ADDR_AES_IVR1)
252
                                                        {
253
 
254
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[8];
255
                                                                c = c << 8;
256
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[9];
257
                                                                c = c << 8;
258
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[10];
259
                                                                c = c << 8;
260
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[11];
261
                                                                v_ecb.value.integer = c;
262
 
263
                                                        }
264
 
265
                                                        if(vector_address[counter_write] == ADDR_AES_IVR0)
266
                                                        {
267
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[12];
268
                                                                d = d << 8;
269
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[13];
270
                                                                d = d << 8;
271
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[14];
272
                                                                d = d << 8;
273
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[15];
274
                                                                v_ecb.value.integer = d;
275
                                                        }
276
 
277
 
278
 
279
                                                }else if(FIPS_ENABLE == RANDOM_DATA)
280
                                                {
281
                                                        v_ecb.value.integer = data_in(rd);
282
                                                }
283
 
284
                                                //vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);                                              
285
                                                vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
286
 
287
 
288
                                                a = 0;
289
                                                b = 0;
290
                                                c = 0;
291
                                                d = 0;
292
                                        }
293
 
294
                                        //ENABLE CR
295
                                        if(counter_write == 9)
296
                                        {
297
 
298
                                                v_ecb.value.integer = vector_address[counter_write];
299
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
300
 
301
                                                t_ecb.type = vpiScaledRealTime;
302
                                                t_ecb.real = 0;
303
                                                v_ecb.format=vpiIntVal;
304
                                                v_ecb.value.integer = 6233;
305
                                                vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
306
 
307
                                        }
308
 
309
                                        //WRITE DINR
310
                                        if(counter_write > 9  &&  counter_write < 14) //WRITE DINR
311
                                        {
312
 
313
                                                v_ecb.value.integer = ADDR_AES_DINR;
314
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
315
 
316
 
317
                                                if(FIPS_ENABLE == FIPS)
318
                                                {
319
 
320
                                                        if(counter_write == 10)
321
                                                        {
322
                                                                a = a | TEXT_CTR_FIPS_DERIVATED[0];
323
                                                                a = a << 8;
324
                                                                a = a | TEXT_CTR_FIPS_DERIVATED[1];
325
                                                                a = a << 8;
326
                                                                a = a | TEXT_CTR_FIPS_DERIVATED[2];
327
                                                                a = a << 8;
328
                                                                a = a | TEXT_CTR_FIPS_DERIVATED[3];
329
                                                                v_ecb.value.integer = a;
330
 
331
                                                        }else if(counter_write == 11)
332
                                                        {
333
                                                                b = b | TEXT_CTR_FIPS_DERIVATED[4];
334
                                                                b = b << 8;
335
                                                                b = b | TEXT_CTR_FIPS_DERIVATED[5];
336
                                                                b = b << 8;
337
                                                                b = b | TEXT_CTR_FIPS_DERIVATED[6];
338
                                                                b = b << 8;
339
                                                                b = b | TEXT_CTR_FIPS_DERIVATED[7];
340
                                                                v_ecb.value.integer = b;
341
 
342
                                                        }else if(counter_write == 12 )
343
                                                        {
344
 
345
                                                                c = c | TEXT_CTR_FIPS_DERIVATED[8];
346
                                                                c = c << 8;
347
                                                                c = c | TEXT_CTR_FIPS_DERIVATED[9];
348
                                                                c = c << 8;
349
                                                                c = c | TEXT_CTR_FIPS_DERIVATED[10];
350
                                                                c = c << 8;
351
                                                                c = c | TEXT_CTR_FIPS_DERIVATED[11];
352
                                                                v_ecb.value.integer = c;
353
 
354
                                                        }else if(counter_write == 13 )
355
                                                        {
356
                                                                d = d | TEXT_CTR_FIPS_DERIVATED[12];
357
                                                                d = d << 8;
358
                                                                d = d | TEXT_CTR_FIPS_DERIVATED[13];
359
                                                                d = d << 8;
360
                                                                d = d | TEXT_CTR_FIPS_DERIVATED[14];
361
                                                                d = d << 8;
362
                                                                d = d | TEXT_CTR_FIPS_DERIVATED[15];
363
                                                                v_ecb.value.integer = d;
364
                                                        }
365
 
366
 
367
                                                }else if(FIPS_ENABLE == RANDOM_DATA)
368
                                                {
369
                                                        v_ecb.value.integer = data_in(rd);
370
                                                }
371
 
372
                                                //vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);
373
                                                vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
374
 
375
                                                a = 0;
376
                                                b = 0;
377
                                                c = 0;
378
                                                d = 0;
379
 
380
 
381
                                        }
382
 
383
                                        v_ecb.value.integer = 1;
384
                                        vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
385
 
386
                                        counter=0;
387
                                }
388
 
389
                                if(counter_write == 14)
390
                                {
391
                                        counter_write = 0;
392
 
393
                                        STATE =WAIT_SR;
394
                                }
395
 
396
                  break;
397
 
398
                  case WAIT_SR:
399
 
400
                                v_ecb.value.integer = ADDR_AES_SR;
401
                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
402
 
403
                                v_ecb.value.integer = 0;
404
                                vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
405
 
406
                                if(counter == 0)
407
                                {
408
 
409
                                        counter++;
410
 
411
                                        v_ecb.value.integer = 1;
412
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
413
 
414
 
415
                                }else if(counter == 1)
416
                                {
417
                                        v_ecb.value.integer = 0;
418
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
419
 
420
                                        counter=0;
421
                                }
422
 
423
                                v_ecb.value.integer = 0;
424
                                vpi_get_value(PRDATA,&v_ecb);
425
 
426
                                if(v_ecb.value.integer == 1)
427
                                {
428
                                        STATE = READ_DOUTR;
429
 
430
                                        t_ecb.type = vpiScaledRealTime;
431
                                        t_ecb.real = 10;
432
                                        v_ecb.format=vpiIntVal;
433
                                        v_ecb.value.integer = ADDR_AES_DOUTR;
434
                                        vpi_put_value(PADDR, &v_ecb, &t_ecb, vpiTransportDelay);
435
                                }
436
 
437
 
438
                  break;
439
 
440
 
441
                 case READ_DOUTR:
442
 
443
 
444
 
445
                                if(counter == 0)
446
                                {
447
 
448
                                        v_ecb.value.integer = 1;
449
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
450
 
451
                                        counter_read++;
452
                                        counter++;
453
 
454
 
455
 
456
                                }else if(counter == 1)
457
                                {
458
                                        v_ecb.value.integer = 0;
459
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
460
 
461
                                        if(counter_read < 4)
462
                                        {
463
                                                v_ecb.value.integer = ADDR_AES_DOUTR;
464
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
465
                                        }
466
 
467
                                        if(counter_read == 4)
468
                                        {
469
                                                v_ecb.value.integer = ADDR_AES_KEYR3;
470
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
471
                                        }
472
 
473
                                        if(counter_read == 5)
474
                                        {
475
                                                v_ecb.value.integer = ADDR_AES_KEYR2;
476
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
477
                                        }
478
 
479
 
480
                                        if(counter_read == 6)
481
                                        {
482
                                                v_ecb.value.integer = ADDR_AES_KEYR1;
483
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
484
                                        }
485
 
486
                                        if(counter_read == 7)
487
                                        {
488
                                                v_ecb.value.integer = ADDR_AES_KEYR0;
489
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
490
                                        }
491
 
492
                                        if(counter_read == 8)
493
                                        {
494
                                                v_ecb.value.integer = ADDR_AES_IVR3;
495
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
496
                                        }
497
 
498
                                        if(counter_read == 9)
499
                                        {
500
                                                v_ecb.value.integer = ADDR_AES_IVR2;
501
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
502
                                        }
503
 
504
                                        if(counter_read == 10)
505
                                        {
506
                                                v_ecb.value.integer = ADDR_AES_IVR1;
507
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
508
                                        }
509
 
510
                                        if(counter_read == 11)
511
                                        {
512
                                                v_ecb.value.integer = ADDR_AES_IVR0;
513
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
514
                                        }
515
 
516
                                        counter = 0;
517
                                }
518
 
519
                                if(counter_read == 12 )
520
                                {
521
                                        STATE = RESET_SR;
522
                                        counter_read = 0;
523
                                        counter_write = 0;
524
 
525
                                }
526
 
527
                break;
528
 
529
                case RESET_SR:
530
 
531
                                v_ecb.value.integer = 1;
532
                                vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
533
 
534
                                v_ecb.value.integer = 1;
535
                                vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
536
 
537
                                v_ecb.value.integer = 0;
538
                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
539
 
540
                                v_ecb.value.integer = 128;
541
                                vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);
542
 
543
 
544
                                if(counter == 0)
545
                                {
546
 
547
                                        counter_write++;
548
                                        counter++;
549
 
550
                                        v_ecb.value.integer = 1;
551
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
552
 
553
                                }else if(counter == 1)
554
                                {
555
 
556
                                        v_ecb.value.integer = 0;
557
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
558
                                        counter=0;
559
 
560
                                }
561
 
562
                                if(counter_write == 1)
563
                                {
564
                                        STATE =IDLE;
565
                                        counter_write = 0;
566
                                        counter=0;
567
                                        PACKETS_GENERATED = PACKETS_GENERATED + 1;
568
                                }
569
 
570
 
571
 
572
                break;
573
 
574
                }
575
 
576
        }
577
 
578
        return 0;
579
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.