OpenCores
URL https://opencores.org/ocsvn/apbtoaes128/apbtoaes128/trunk

Subversion Repositories apbtoaes128

[/] [apbtoaes128/] [trunk/] [pli/] [bfm_ctr/] [aes_bfm_key_generation_dma_ctr.h] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 redbear
//////////////////////////////////////////////////////////////////
2
////
3
////
4
////    AES CORE BLOCK
5
////
6
////
7
////
8
//// This file is part of the APB to AES128 project
9
////
10
//// http://www.opencores.org/cores/apbtoaes128/
11
////
12
////
13
////
14
//// Description
15
////
16
//// Implementation of APB IP core according to
17
////
18
//// aes128_spec IP core specification document.
19
////
20
////
21
////
22
//// To Do: Things are right here but always all block can suffer changes
23
////
24
////
25
////
26
////
27
////
28
//// Author(s): - Felipe Fernandes Da Costa, fefe2560@gmail.com
29
////
30
///////////////////////////////////////////////////////////////// 
31
////
32
////
33
//// Copyright (C) 2009 Authors and OPENCORES.ORG
34
////
35
////
36
////
37
//// This source file may be used and distributed without
38
////
39
//// restriction provided that this copyright statement is not
40
////
41
//// removed from the file and that any derivative work contains
42
//// the original copyright notice and the associated disclaimer.
43
////
44
////
45
//// This source file is free software; you can redistribute it
46
////
47
//// and/or modify it under the terms of the GNU Lesser General
48
////
49
//// Public License as published by the Free Software Foundation;
50
//// either version 2.1 of the License, or (at your option) any
51
////
52
//// later version.
53
////
54
////
55
////
56
//// This source is distributed in the hope that it will be
57
////
58
//// useful, but WITHOUT ANY WARRANTY; without even the implied
59
////
60
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
61
////
62
//// PURPOSE. See the GNU Lesser General Public License for more
63
//// details.
64
////
65
////
66
////
67
//// You should have received a copy of the GNU Lesser General
68
////
69
//// Public License along with this source; if not, download it
70
////
71
//// from http://www.opencores.org/lgpl.shtml
72
////
73
////
74
///////////////////////////////////////////////////////////////////
75
static int aes_bfm_key_generation_dma_ctr_calltf(char*user_data)
76
{
77
 
78
        vpiHandle PRESETn = vpi_handle_by_name("AES_GLADIC_tb.PRESETn", NULL);
79
        vpiHandle PWDATA = vpi_handle_by_name("AES_GLADIC_tb.PWDATA", NULL);
80
        vpiHandle PENABLE = vpi_handle_by_name("AES_GLADIC_tb.PENABLE", NULL);
81
        vpiHandle PSEL = vpi_handle_by_name("AES_GLADIC_tb.PSEL", NULL);
82
        vpiHandle PWRITE = vpi_handle_by_name("AES_GLADIC_tb.PWRITE", NULL);
83
        vpiHandle PADDR = vpi_handle_by_name("AES_GLADIC_tb.PADDR", NULL);
84
        vpiHandle PRDATA = vpi_handle_by_name("AES_GLADIC_tb.PRDATA", NULL);
85
        vpiHandle PREADY = vpi_handle_by_name("AES_GLADIC_tb.PREADY", NULL);
86
        vpiHandle PSLVERR = vpi_handle_by_name("AES_GLADIC_tb.PSLVERR", NULL);
87
        vpiHandle int_ccf = vpi_handle_by_name("AES_GLADIC_tb.int_ccf", NULL);
88
        vpiHandle int_err = vpi_handle_by_name("AES_GLADIC_tb.int_err", NULL);
89
        vpiHandle dma_req_wr = vpi_handle_by_name("AES_GLADIC_tb.dma_req_wr", NULL);
90
        vpiHandle dma_req_rd = vpi_handle_by_name("AES_GLADIC_tb.dma_req_rd", NULL);
91
 
92
        std::random_device rd;
93
        std::uniform_int_distribution<long int> data_in(0,4294967295);
94
 
95
        v_ecb.format=vpiIntVal;
96
 
97
        vpi_get_value(PRESETn, &v_ecb);
98
 
99
 
100
        //printf("%i\n",STATE);
101
 
102
 
103
        if(type_bfm == CTR_KEY_GEN_DMA && v_ecb.value.integer == 1)
104
        {
105
 
106
                switch(STATE)
107
                {
108
 
109
                  case IDLE:
110
 
111
                                if(PACKETS_GENERATED >= MAX_ITERATIONS)
112
                                {
113
 
114
                                        STATE = IDLE;
115
                                        type_bfm = 0;
116
 
117
                                }else
118
                                {
119
                                        STATE = WRITE;
120
 
121
                                        counter = 0;
122
 
123
 
124
                                        v_ecb.value.integer = 0;
125
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
126
 
127
                                        v_ecb.value.integer = vector_address[0];
128
                                        vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
129
 
130
                                        v_ecb.value.integer = 0;
131
                                        vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);
132
 
133
                                        v_ecb.value.integer = 1;
134
                                        vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
135
 
136
                                        v_ecb.value.integer = 1;
137
                                        vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
138
                                }
139
 
140
                  break;
141
 
142
                  case WRITE:
143
                                if(counter == 0)
144
                                {
145
 
146
 
147
                                        counter_write++;
148
                                        counter++;
149
 
150
                                        v_ecb.value.integer = 1;
151
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
152
 
153
 
154
                                }else if(counter == 1)
155
                                {
156
 
157
                                        v_ecb.value.integer = 0;
158
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
159
 
160
 
161
 
162
                                        if(counter_write < 9)
163
                                        {
164
 
165
                                                v_ecb.value.integer = vector_address[counter_write];
166
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
167
 
168
                                                t_ecb.type = vpiScaledRealTime;
169
                                                t_ecb.real = 0;
170
                                                v_ecb.format=vpiIntVal;
171
 
172
                                                if(FIPS_ENABLE == FIPS)
173
                                                {
174
 
175
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR3)
176
                                                        {
177
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[0];
178
                                                                a = a << 8;
179
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[1];
180
                                                                a = a << 8;
181
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[2];
182
                                                                a = a << 8;
183
                                                                a = a | KEY_FIPS_CTR_NOT_DERIVATED[3];
184
                                                                v_ecb.value.integer = a;
185
                                                        }
186
 
187
 
188
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR2)
189
                                                        {
190
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[4];
191
                                                                b = b << 8;
192
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[5];
193
                                                                b = b << 8;
194
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[6];
195
                                                                b = b << 8;
196
                                                                b = b | KEY_FIPS_CTR_NOT_DERIVATED[7];
197
                                                                v_ecb.value.integer = b;
198
                                                        }
199
 
200
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR1)
201
                                                        {
202
 
203
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[8];
204
                                                                c = c << 8;
205
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[9];
206
                                                                c = c << 8;
207
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[10];
208
                                                                c = c << 8;
209
                                                                c = c | KEY_FIPS_CTR_NOT_DERIVATED[11];
210
                                                                v_ecb.value.integer = c;
211
 
212
                                                        }
213
 
214
                                                        if(vector_address[counter_write] == ADDR_AES_KEYR0)
215
                                                        {
216
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[12];
217
                                                                d = d << 8;
218
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[13];
219
                                                                d = d << 8;
220
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[14];
221
                                                                d = d << 8;
222
                                                                d = d | KEY_FIPS_CTR_NOT_DERIVATED[15];
223
                                                                v_ecb.value.integer = d;
224
                                                        }
225
 
226
 
227
                                                        if(vector_address[counter_write] == ADDR_AES_IVR3)
228
                                                        {
229
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[0];
230
                                                                a = a << 8;
231
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[1];
232
                                                                a = a << 8;
233
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[2];
234
                                                                a = a << 8;
235
                                                                a = a | IV_FIPS_CTR_NOT_DERIVATED[3];
236
                                                                v_ecb.value.integer = a;
237
                                                        }
238
 
239
 
240
                                                        if(vector_address[counter_write] == ADDR_AES_IVR2)
241
                                                        {
242
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[4];
243
                                                                b = b << 8;
244
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[5];
245
                                                                b = b << 8;
246
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[6];
247
                                                                b = b << 8;
248
                                                                b = b | IV_FIPS_CTR_NOT_DERIVATED[7];
249
                                                                v_ecb.value.integer = b;
250
                                                        }
251
 
252
                                                        if(vector_address[counter_write] == ADDR_AES_IVR1)
253
                                                        {
254
 
255
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[8];
256
                                                                c = c << 8;
257
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[9];
258
                                                                c = c << 8;
259
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[10];
260
                                                                c = c << 8;
261
                                                                c = c | IV_FIPS_CTR_NOT_DERIVATED[11];
262
                                                                v_ecb.value.integer = c;
263
 
264
                                                        }
265
 
266
                                                        if(vector_address[counter_write] == ADDR_AES_IVR0)
267
                                                        {
268
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[12];
269
                                                                d = d << 8;
270
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[13];
271
                                                                d = d << 8;
272
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[14];
273
                                                                d = d << 8;
274
                                                                d = d | IV_FIPS_CTR_NOT_DERIVATED[15];
275
                                                                v_ecb.value.integer = d;
276
                                                        }
277
 
278
 
279
 
280
                                                }else if(FIPS_ENABLE == RANDOM_DATA)
281
                                                {
282
                                                        v_ecb.value.integer = data_in(rd);
283
                                                }
284
 
285
                                                //vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);                                              
286
                                                vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
287
 
288
 
289
                                                a = 0;
290
                                                b = 0;
291
                                                c = 0;
292
                                                d = 0;
293
                                        }
294
 
295
 
296
                                        if(counter_write == 9)
297
                                        {
298
 
299 9 redbear
                                                v_ecb.value.integer = ADDR_AES_CR;
300 5 redbear
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
301
 
302
                                                t_ecb.type = vpiScaledRealTime;
303
                                                t_ecb.real = 0;
304
                                                v_ecb.format=vpiIntVal;
305
                                                v_ecb.value.integer = 6217;
306
                                                vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
307
 
308
                                        }
309
 
310
 
311
                                        v_ecb.value.integer = 1;
312
                                        vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
313
 
314
                                        counter=0;
315
                                }
316
 
317
                                if(counter_write == 10)
318
                                {
319
                                        counter_write = 0;
320
                                        counter_read  = 0;
321
 
322
                                        STATE =WAIT_SR;
323
 
324
 
325
                                }
326
 
327
                  break;
328
 
329
                  case WAIT_SR:
330
 
331
                                v_ecb.value.integer = ADDR_AES_SR;
332
                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
333
 
334
                                v_ecb.value.integer = 0;
335
                                vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
336
 
337
                                if(counter == 0)
338
                                {
339
 
340
                                        counter++;
341
 
342
                                        v_ecb.value.integer = 1;
343
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
344
 
345 9 redbear
                                        v_ecb.value.integer = 0;
346
                                        vpi_get_value(PRDATA,&v_ecb);
347 5 redbear
 
348 9 redbear
                                        if(v_ecb.value.integer == 1)
349
                                        {
350
                                                STATE = READ_KEY_GEN;
351
 
352
                                                //t_ecb.type = vpiScaledRealTime;
353
                                                //t_ecb.real = 0;
354
                                                //v_ecb.format=vpiIntVal;
355
                                                //v_ecb.value.integer = ADDR_AES_DOUTR;
356
                                                //vpi_put_value(PADDR, &v_ecb, &t_ecb, vpiTransportDelay);
357
                                        }
358
 
359
 
360 5 redbear
                                }else if(counter == 1)
361
                                {
362
                                        v_ecb.value.integer = 0;
363
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
364
 
365
                                        counter=0;
366
                                }
367
 
368
 
369
 
370
 
371
                  break;
372
 
373
 
374
                 case READ_KEY_GEN:
375
 
376
 
377
 
378
                                if(counter == 0)
379
                                {
380
 
381
                                        v_ecb.value.integer = 1;
382
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
383
 
384
                                        counter_read++;
385
                                        counter++;
386
 
387
 
388
 
389
                                }else if(counter == 1)
390
                                {
391
 
392 9 redbear
 
393
                                        v_ecb.value.integer = 0;
394
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
395
 
396 5 redbear
                                        if(counter_read < 4)
397
                                        {
398
                                                v_ecb.value.integer = ADDR_AES_DOUTR;
399
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
400
                                        }
401
 
402
                                        if(counter_read == 4)
403
                                        {
404
                                                v_ecb.value.integer = ADDR_AES_KEYR3;
405
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
406
                                        }
407
 
408
                                        if(counter_read == 5)
409
                                        {
410
                                                v_ecb.value.integer = ADDR_AES_KEYR2;
411
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
412
                                        }
413
 
414
 
415
                                        if(counter_read == 6)
416
                                        {
417
                                                v_ecb.value.integer = ADDR_AES_KEYR1;
418
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
419
                                        }
420
 
421
                                        if(counter_read == 7)
422
                                        {
423
                                                v_ecb.value.integer = ADDR_AES_KEYR0;
424
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
425
                                        }
426
 
427
                                        if(counter_read == 8)
428
                                        {
429
                                                v_ecb.value.integer = ADDR_AES_IVR3;
430
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
431
                                        }
432
 
433
                                        if(counter_read == 9)
434
                                        {
435
                                                v_ecb.value.integer = ADDR_AES_IVR2;
436
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
437
                                        }
438
 
439
                                        if(counter_read == 10)
440
                                        {
441
                                                v_ecb.value.integer = ADDR_AES_IVR1;
442
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
443
                                        }
444
 
445
                                        if(counter_read == 11)
446
                                        {
447
                                                v_ecb.value.integer = ADDR_AES_IVR0;
448
                                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
449
                                        }
450
 
451
                                        counter = 0;
452
                                }
453
 
454
                                if(counter_read == 12)
455
                                {
456
                                        STATE = RESET_SR;
457
                                        counter_write = 0;
458
                                        counter_read  = 0;
459
                                }
460
 
461
                 break;
462
 
463
                case RESET_SR:
464
 
465
                                v_ecb.value.integer = 1;
466
                                vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
467
 
468
                                v_ecb.value.integer = 1;
469
                                vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
470
 
471
                                v_ecb.value.integer = 0;
472
                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
473
 
474
                                v_ecb.value.integer = 128;
475
                                vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);
476
 
477
 
478
                                if(counter == 0)
479
                                {
480
 
481
                                        counter_write++;
482
                                        counter++;
483
 
484
                                        v_ecb.value.integer = 1;
485
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
486
 
487
                                }else if(counter == 1)
488
                                {
489
 
490
                                        v_ecb.value.integer = 0;
491
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
492
                                        counter=0;
493
 
494
                                }
495
 
496
                                if(counter_write == 1)
497
                                {
498
                                        STATE =IDLE;
499
                                        counter_write = 0;
500
                                        counter=0;
501
                                        PACKETS_GENERATED = PACKETS_GENERATED + 1;
502
                                }
503
 
504
 
505
 
506
                break;
507
 
508
                }
509
 
510
        }
511
 
512
        return 0;
513
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.