OpenCores
URL https://opencores.org/ocsvn/apbtoaes128/apbtoaes128/trunk

Subversion Repositories apbtoaes128

[/] [apbtoaes128/] [trunk/] [pli/] [bfm_ecb/] [aes_bfm_encryption_ecb.h] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 redbear
//////////////////////////////////////////////////////////////////
2
////
3
////
4
////    AES CORE BLOCK
5
////
6
////
7
////
8
//// This file is part of the APB to AES128 project
9
////
10
//// http://www.opencores.org/cores/apbtoaes128/
11
////
12
////
13
////
14
//// Description
15
////
16
//// Implementation of APB IP core according to
17
////
18
//// aes128_spec IP core specification document.
19
////
20
////
21
////
22
//// To Do: Things are right here but always all block can suffer changes
23
////
24
////
25
////
26
////
27
////
28
//// Author(s): - Felipe Fernandes Da Costa, fefe2560@gmail.com
29
////
30
///////////////////////////////////////////////////////////////// 
31
////
32
////
33
//// Copyright (C) 2009 Authors and OPENCORES.ORG
34
////
35
////
36
////
37
//// This source file may be used and distributed without
38
////
39
//// restriction provided that this copyright statement is not
40
////
41
//// removed from the file and that any derivative work contains
42
//// the original copyright notice and the associated disclaimer.
43
////
44
////
45
//// This source file is free software; you can redistribute it
46
////
47
//// and/or modify it under the terms of the GNU Lesser General
48
////
49
//// Public License as published by the Free Software Foundation;
50
//// either version 2.1 of the License, or (at your option) any
51
////
52
//// later version.
53
////
54
////
55
////
56
//// This source is distributed in the hope that it will be
57
////
58
//// useful, but WITHOUT ANY WARRANTY; without even the implied
59
////
60
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
61
////
62
//// PURPOSE. See the GNU Lesser General Public License for more
63
//// details.
64
////
65
////
66
////
67
//// You should have received a copy of the GNU Lesser General
68
////
69
//// Public License along with this source; if not, download it
70
////
71
//// from http://www.opencores.org/lgpl.shtml
72
////
73
////
74
///////////////////////////////////////////////////////////////////
75
static int aes_bfm_encryption_ecb_calltf(char*user_data)
76
{
77
 
78
        vpiHandle PRESETn = vpi_handle_by_name("AES_GLADIC_tb.PRESETn", NULL);
79
        vpiHandle PWDATA = vpi_handle_by_name("AES_GLADIC_tb.PWDATA", NULL);
80
        vpiHandle PENABLE = vpi_handle_by_name("AES_GLADIC_tb.PENABLE", NULL);
81
        vpiHandle PSEL = vpi_handle_by_name("AES_GLADIC_tb.PSEL", NULL);
82
        vpiHandle PWRITE = vpi_handle_by_name("AES_GLADIC_tb.PWRITE", NULL);
83
        vpiHandle PADDR = vpi_handle_by_name("AES_GLADIC_tb.PADDR", NULL);
84
        vpiHandle PRDATA = vpi_handle_by_name("AES_GLADIC_tb.PRDATA", NULL);
85
        vpiHandle PREADY = vpi_handle_by_name("AES_GLADIC_tb.PREADY", NULL);
86
        vpiHandle PSLVERR = vpi_handle_by_name("AES_GLADIC_tb.PSLVERR", NULL);
87
        vpiHandle int_ccf = vpi_handle_by_name("AES_GLADIC_tb.int_ccf", NULL);
88
        vpiHandle int_err = vpi_handle_by_name("AES_GLADIC_tb.int_err", NULL);
89
        vpiHandle dma_req_wr = vpi_handle_by_name("AES_GLADIC_tb.dma_req_wr", NULL);
90
        vpiHandle dma_req_rd = vpi_handle_by_name("AES_GLADIC_tb.dma_req_rd", NULL);
91
 
92
        std::random_device rd;
93
        std::uniform_int_distribution<long int> data_in(0,4294967295);
94
 
95
        v_ecb.format=vpiIntVal;
96
 
97
        vpi_get_value(PRESETn, &v_ecb);
98
 
99
 
100
        //printf("%i\n",STATE);
101
 
102
 
103
        if(type_bfm == ECB_ENCRYPTION && v_ecb.value.integer == 1)
104
        {
105
 
106
                switch(STATE)
107
                {
108
 
109
                  case IDLE:
110
 
111
                                if(PACKETS_GENERATED >= MAX_ITERATIONS)
112
                                {
113
 
114
                                        STATE = IDLE;
115
                                        type_bfm = 0;
116
 
117
                                }else
118
                                {
119
                                        STATE = WRITE;
120
 
121
                                        counter = 0;
122
 
123
 
124
                                        v_ecb.value.integer = 0;
125
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
126
 
127
                                        v_ecb.value.integer = vector_address[0];
128
                                        vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
129
 
130
                                        v_ecb.value.integer = 0;
131
                                        vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);
132
 
133
                                        v_ecb.value.integer = 1;
134
                                        vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
135
 
136
                                        v_ecb.value.integer = 1;
137
                                        vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
138
                                }
139
 
140
                  break;
141
 
142
                  case WRITE:
143
 
144
 
145
 
146
                        v_ecb.value.integer = 1;
147
                        vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
148
 
149
                        if(counter == 0)
150
                        {
151
                                counter_write++;
152
                                counter++;
153
 
154
                                v_ecb.value.integer = 1;
155
                                vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
156
 
157
 
158
                        }else if(counter == 1)
159
                        {
160
 
161
 
162
 
163
                                t_ecb.type = vpiScaledRealTime;
164
                                t_ecb.real = 0;
165
                                v_ecb.format=vpiIntVal;
166
 
167
                                v_ecb.value.integer = 0;
168
                                vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
169
 
170
 
171
                                if(counter_write < 9)
172
                                {
173
 
174
                                        v_ecb.value.integer = vector_address[counter_write];
175
                                        vpi_put_value(PADDR, &v_ecb, &t_ecb, vpiTransportDelay);
176
 
177
                                        if(FIPS_ENABLE == FIPS)
178
                                        {
179
 
180
                                                if(vector_address[counter_write] == ADDR_AES_KEYR3 || vector_address[counter_write] == ADDR_AES_IVR3)
181
                                                {
182
                                                        a = a | KEY_FIPS_NOT_DERIVATED[0];
183
                                                        a = a << 8;
184
                                                        a = a | KEY_FIPS_NOT_DERIVATED[1];
185
                                                        a = a << 8;
186
                                                        a = a | KEY_FIPS_NOT_DERIVATED[2];
187
                                                        a = a << 8;
188
                                                        a = a | KEY_FIPS_NOT_DERIVATED[3];
189
                                                        v_ecb.value.integer = a;
190
                                                }
191
 
192
 
193
                                                if(vector_address[counter_write] == ADDR_AES_KEYR2 || vector_address[counter_write] == ADDR_AES_IVR2)
194
                                                {
195
                                                        b = b | KEY_FIPS_NOT_DERIVATED[4];
196
                                                        b = b << 8;
197
                                                        b = b | KEY_FIPS_NOT_DERIVATED[5];
198
                                                        b = b << 8;
199
                                                        b = b | KEY_FIPS_NOT_DERIVATED[6];
200
                                                        b = b << 8;
201
                                                        b = b | KEY_FIPS_NOT_DERIVATED[7];
202
                                                        v_ecb.value.integer = b;
203
                                                }
204
 
205
                                                if(vector_address[counter_write] == ADDR_AES_KEYR1 || vector_address[counter_write] == ADDR_AES_IVR1)
206
                                                {
207
 
208
                                                        c = c | KEY_FIPS_NOT_DERIVATED[8];
209
                                                        c = c << 8;
210
                                                        c = c | KEY_FIPS_NOT_DERIVATED[9];
211
                                                        c = c << 8;
212
                                                        c = c | KEY_FIPS_NOT_DERIVATED[10];
213
                                                        c = c << 8;
214
                                                        c = c | KEY_FIPS_NOT_DERIVATED[11];
215
                                                        v_ecb.value.integer = c;
216
 
217
                                                }
218
 
219
                                                if(vector_address[counter_write] == ADDR_AES_KEYR0 || vector_address[counter_write] == ADDR_AES_IVR0)
220
                                                {
221
                                                        d = d | KEY_FIPS_NOT_DERIVATED[12];
222
                                                        d = d << 8;
223
                                                        d = d | KEY_FIPS_NOT_DERIVATED[13];
224
                                                        d = d << 8;
225
                                                        d = d | KEY_FIPS_NOT_DERIVATED[14];
226
                                                        d = d << 8;
227
                                                        d = d | KEY_FIPS_NOT_DERIVATED[15];
228
                                                        v_ecb.value.integer = d;
229
                                                }
230
 
231
 
232
 
233
                                        }else if(FIPS_ENABLE == RANDOM_DATA)
234
                                        {
235
                                                v_ecb.value.integer = data_in(rd);
236
                                        }
237
 
238
                                        vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
239
 
240
 
241
                                        a = 0;
242
                                        b = 0;
243
                                        c = 0;
244
                                        d = 0;
245
 
246
 
247
 
248
                                }else if(counter_write == 9)//ENABLE CR
249
                                {
250
 
251
                                        v_ecb.value.integer = vector_address[counter_write];
252
                                        vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
253
 
254
                                        v_ecb.value.integer = 1;
255
                                        vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
256
 
257
                                }else if(counter_write > 9  &&  counter_write < 14) //WRITE DINR
258
                                {
259
 
260
                                        v_ecb.value.integer = ADDR_AES_DINR;
261
                                        vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
262
 
263
 
264
                                        if(FIPS_ENABLE == FIPS)
265
                                        {
266
 
267
                                                if(counter_write == 10)
268
                                                {
269
                                                        a = a | TEXT_FIPS_NOT_DERIVATED[0];
270
                                                        a = a << 8;
271
                                                        a = a | TEXT_FIPS_NOT_DERIVATED[1];
272
                                                        a = a << 8;
273
                                                        a = a | TEXT_FIPS_NOT_DERIVATED[2];
274
                                                        a = a << 8;
275
                                                        a = a | TEXT_FIPS_NOT_DERIVATED[3];
276
                                                        v_ecb.value.integer = a;
277
 
278
                                                }else if(counter_write == 11)
279
                                                {
280
                                                        b = b | TEXT_FIPS_NOT_DERIVATED[4];
281
                                                        b = b << 8;
282
                                                        b = b | TEXT_FIPS_NOT_DERIVATED[5];
283
                                                        b = b << 8;
284
                                                        b = b | TEXT_FIPS_NOT_DERIVATED[6];
285
                                                        b = b << 8;
286
                                                        b = b | TEXT_FIPS_NOT_DERIVATED[7];
287
                                                        v_ecb.value.integer = b;
288
 
289
                                                }else if(counter_write == 12 )
290
                                                {
291
 
292
                                                        c = c | TEXT_FIPS_NOT_DERIVATED[8];
293
                                                        c = c << 8;
294
                                                        c = c | TEXT_FIPS_NOT_DERIVATED[9];
295
                                                        c = c << 8;
296
                                                        c = c | TEXT_FIPS_NOT_DERIVATED[10];
297
                                                        c = c << 8;
298
                                                        c = c | TEXT_FIPS_NOT_DERIVATED[11];
299
                                                        v_ecb.value.integer = c;
300
 
301
                                                }else if(counter_write == 13 )
302
                                                {
303
                                                        d = d | TEXT_FIPS_NOT_DERIVATED[12];
304
                                                        d = d << 8;
305
                                                        d = d | TEXT_FIPS_NOT_DERIVATED[13];
306
                                                        d = d << 8;
307
                                                        d = d | TEXT_FIPS_NOT_DERIVATED[14];
308
                                                        d = d << 8;
309
                                                        d = d | TEXT_FIPS_NOT_DERIVATED[15];
310
                                                        v_ecb.value.integer = d;
311
 
312
                                                }
313
 
314
 
315
                                        }else if(FIPS_ENABLE == RANDOM_DATA)
316
                                        {
317
                                                v_ecb.value.integer = data_in(rd);
318
                                        }
319
 
320
                                        vpi_put_value(PWDATA, &v_ecb, &t_ecb, vpiTransportDelay);
321
 
322
                                        a = 0;
323
                                        b = 0;
324
                                        c = 0;
325
                                        d = 0;
326
 
327
 
328
                                }
329
                                        counter=0;
330
 
331
                        }
332
 
333
 
334
 
335
                                if(counter_write == 14)
336
                                {
337
                                        counter_write = 0;
338
                                        STATE = WAIT_SR;
339
                                }
340
 
341
                  break;
342
 
343
                  case WAIT_SR:
344
 
345
                                v_ecb.value.integer = ADDR_AES_SR;
346
                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
347
 
348
                                v_ecb.value.integer = 0;
349
                                vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
350
 
351
                                if(counter == 0)
352
                                {
353
 
354
                                        counter++;
355
 
356
                                        v_ecb.value.integer = 1;
357
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
358
 
359
 
360
                                }else if(counter == 1)
361
                                {
362
                                        v_ecb.value.integer = 0;
363
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
364
 
365
                                        counter=0;
366
                                }
367
 
368
                                v_ecb.value.integer = 0;
369
                                vpi_get_value(PRDATA,&v_ecb);
370
 
371
                                if(v_ecb.value.integer == 1)
372
                                {
373
                                        STATE = READ_DOUTR;
374
 
375
                                        t_ecb.type = vpiScaledRealTime;
376
                                        t_ecb.real = 10;
377
                                        v_ecb.format=vpiIntVal;
378
                                        v_ecb.value.integer = ADDR_AES_DOUTR;
379
                                        vpi_put_value(PADDR, &v_ecb, &t_ecb, vpiTransportDelay);
380
                                }
381
 
382
 
383
                  break;
384
 
385
 
386
                 case READ_DOUTR:
387
 
388
 
389
 
390
                                if(counter == 0)
391
                                {
392
 
393
                                        v_ecb.value.integer = ADDR_AES_DOUTR;
394
                                        vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
395
 
396
                                        v_ecb.value.integer = 1;
397
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
398
 
399
                                        counter_write++;
400
                                        counter++;
401
 
402
 
403
 
404
                                }else if(counter == 1)
405
                                {
406
                                        v_ecb.value.integer = 0;
407
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
408
 
409
                                        counter = 0;
410
                                }
411
 
412
                                if(counter_write == 4)
413
                                {
414
                                        STATE = RESET_SR;
415
                                        counter_write = 0;
416
 
417
                                }
418
 
419
                 break;
420
 
421
                case RESET_SR:
422
 
423
                                v_ecb.value.integer = 1;
424
                                vpi_put_value(PWRITE, &v_ecb, NULL, vpiNoDelay);
425
 
426
                                v_ecb.value.integer = 1;
427
                                vpi_put_value(PSEL, &v_ecb, NULL, vpiNoDelay);
428
 
429
                                v_ecb.value.integer = 0;
430
                                vpi_put_value(PADDR, &v_ecb, NULL, vpiNoDelay);
431
 
432
                                v_ecb.value.integer = 128;
433
                                vpi_put_value(PWDATA, &v_ecb, NULL, vpiNoDelay);
434
 
435
 
436
                                if(counter == 0)
437
                                {
438
 
439
                                        counter_write++;
440
                                        counter++;
441
 
442
                                        v_ecb.value.integer = 1;
443
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
444
 
445
                                }else if(counter == 1)
446
                                {
447
 
448
                                        v_ecb.value.integer = 0;
449
                                        vpi_put_value(PENABLE, &v_ecb, NULL, vpiNoDelay);
450
                                        counter=0;
451
 
452
                                }
453
 
454
                                if(counter_write == 1)
455
                                {
456
                                        STATE =IDLE;
457
                                        counter_write = 0;
458
                                        counter=0;
459
 
460
                                        PACKETS_GENERATED = PACKETS_GENERATED + 1;
461
                                }
462
 
463
 
464
 
465
                break;
466
 
467
                }
468
 
469
        }
470
 
471
        return 0;
472
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.