OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [tags/] [google_release_of_0x20_firmware/] [sw/] [AUTHORS] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 nuubik
LPC dongle script
2
        Jüri Toomessoo 
3
 
4
Uspp inlined library
5
        Isaac Barona Martínez   Madrid (SPAIN)
6
        Damien Géranton 
7
        Douglas Jones 
8
        J.Grauheding 
9
          Stefan Reinauer     (MAC OS X support)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.