OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [trunk/] [altera_quartus_proj/] [dongle_syn.qsf] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 nuubik
# Copyright (C) 1991-2006 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               dongle_syn_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Cyclone III"
27
set_global_assignment -name DEVICE EP3C5F256C7
28
set_global_assignment -name TOP_LEVEL_ENTITY design_top
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:34:29  AUGUST 31, 2006"
31
set_global_assignment -name LAST_QUARTUS_VERSION 11.0
32
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
33
set_global_assignment -name EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION ON -section_id eda_simulation
34
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
35
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
36
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 256
37
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS1
38
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
39
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
40
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER ON
41
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to lad
42
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to fl_data
43
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to hdr
44
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to usb_bd
45
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to fl_addr
46
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to fl_ce_n
47
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to fl_oe_n
48
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to fl_rp_n
49
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to fl_we_n
50
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to led_green
51
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to led_red
52
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to scn_seg
53
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to seg_out
54
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to usb_rd_n
55
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to usb_wr
56
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hdr[3]
57
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hdr[5]
58
set_global_assignment -name ENABLE_ADVANCED_IO_TIMING ON
59
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
60
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
61
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
62
set_global_assignment -name POWER_USE_INPUT_FILES OFF
63
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
64
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
65
set_global_assignment -name ASSIGNMENT_GROUP_MEMBER sys_clk -section_id sys25
66
set_instance_assignment -name CLOCK_SETTINGS design_top|lclk -to lclk
67
set_instance_assignment -name CLOCK_SETTINGS design_top|sys_clk -to sys_clk
68
set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
69
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id design_top|sys_clk
70
set_global_assignment -name FMAX_REQUIREMENT "33 MHz" -section_id design_top|lclk
71
set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 100%
72
set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 100%
73
set_global_assignment -name POWER_USE_PVA OFF
74
set_location_assignment PIN_L4 -to fl_data[0]
75
set_location_assignment PIN_L1 -to fl_data[1]
76
set_location_assignment PIN_K2 -to fl_data[2]
77
set_location_assignment PIN_K5 -to fl_data[3]
78
set_location_assignment PIN_J1 -to fl_data[4]
79
set_location_assignment PIN_J6 -to fl_data[5]
80
set_location_assignment PIN_P2 -to fl_data[6]
81
set_location_assignment PIN_L6 -to fl_data[7]
82
set_location_assignment PIN_L3 -to fl_data[8]
83
set_location_assignment PIN_L2 -to fl_data[9]
84
set_location_assignment PIN_K1 -to fl_data[10]
85
set_location_assignment PIN_J2 -to fl_data[11]
86
set_location_assignment PIN_K6 -to fl_data[12]
87
set_location_assignment PIN_P1 -to fl_data[13]
88
set_location_assignment PIN_R1 -to fl_data[14]
89
set_location_assignment PIN_N2 -to fl_data[15]
90
set_location_assignment PIN_N1 -to fl_sts
91
set_location_assignment PIN_N3 -to fl_addr[0]
92
set_location_assignment PIN_M7 -to fl_addr[1]
93
set_location_assignment PIN_M8 -to fl_addr[2]
94
set_location_assignment PIN_N8 -to fl_addr[3]
95
set_location_assignment PIN_N6 -to fl_addr[4]
96
set_location_assignment PIN_K8 -to fl_addr[5]
97
set_location_assignment PIN_L7 -to fl_addr[6]
98
set_location_assignment PIN_L8 -to fl_addr[7]
99
set_location_assignment PIN_T8 -to fl_addr[8]
100
set_location_assignment PIN_P8 -to fl_addr[9]
101
set_location_assignment PIN_T7 -to fl_addr[10]
102
set_location_assignment PIN_R7 -to fl_addr[11]
103
set_location_assignment PIN_T6 -to fl_addr[12]
104
set_location_assignment PIN_R6 -to fl_addr[13]
105
set_location_assignment PIN_P6 -to fl_addr[14]
106
set_location_assignment PIN_T5 -to fl_addr[15]
107
set_location_assignment PIN_R5 -to fl_addr[16]
108
set_location_assignment PIN_T4 -to fl_addr[17]
109
set_location_assignment PIN_R4 -to fl_addr[18]
110
set_location_assignment PIN_T3 -to fl_addr[19]
111
set_location_assignment PIN_R3 -to fl_addr[20]
112
set_location_assignment PIN_P3 -to fl_addr[21]
113
set_location_assignment PIN_T2 -to fl_addr[22]
114
set_location_assignment PIN_M6 -to fl_addr[23]
115
set_location_assignment PIN_N5 -to fl_we_n
116
set_location_assignment PIN_P9 -to ps_addr_val
117
set_location_assignment PIN_R8 -to ps_clk
118
set_location_assignment PIN_T9 -to ps_confr_en
119
set_location_assignment PIN_R10 -to ps_lsb_en
120
set_location_assignment PIN_M9 -to ps_msb_en
121
set_location_assignment PIN_T10 -to ps_wait
122
set_location_assignment PIN_T12 -to ee_clk
123
set_location_assignment PIN_R12 -to ee_cs_n
124
set_location_assignment PIN_P11 -to ee_di
125
set_location_assignment PIN_R13 -to ee_do
126
set_location_assignment PIN_R11 -to ee_hold_n
127
set_location_assignment PIN_T13 -to ee_write
128
set_location_assignment PIN_T11 -to fl_ce_n
129
set_location_assignment PIN_R9 -to fl_oe_n
130
set_location_assignment PIN_E5 -to usb_bd[0]
131
set_location_assignment PIN_D1 -to usb_bd[1]
132
set_location_assignment PIN_F3 -to usb_bd[2]
133
set_location_assignment PIN_F1 -to usb_bd[3]
134
set_location_assignment PIN_F2 -to usb_bd[4]
135
set_location_assignment PIN_G2 -to usb_bd[5]
136
set_location_assignment PIN_F5 -to usb_bd[6]
137
set_location_assignment PIN_G1 -to usb_bd[7]
138
set_location_assignment PIN_G5 -to usb_rd_n
139
set_location_assignment PIN_B1 -to usb_rxf_n
140
set_location_assignment PIN_C2 -to usb_txe_n
141
set_location_assignment PIN_D4 -to usb_wr
142
set_location_assignment PIN_E15 -to lclk
143
set_location_assignment PIN_E1 -to sys_clk
144
set_location_assignment PIN_A7 -to led_red
145
set_location_assignment PIN_B7 -to led_green
146
set_location_assignment PIN_A4 -to scn_seg[0]
147
set_location_assignment PIN_B5 -to scn_seg[1]
148
set_location_assignment PIN_C8 -to scn_seg[2]
149
set_location_assignment PIN_D6 -to scn_seg[3]
150
set_location_assignment PIN_A2 -to seg_out[0]
151
set_location_assignment PIN_C3 -to seg_out[1]
152
set_location_assignment PIN_B3 -to seg_out[2]
153
set_location_assignment PIN_A3 -to seg_out[3]
154
set_location_assignment PIN_E8 -to seg_out[4]
155
set_location_assignment PIN_A6 -to seg_out[5]
156
set_location_assignment PIN_B6 -to seg_out[6]
157
set_location_assignment PIN_E7 -to seg_out[7]
158
set_location_assignment PIN_D5 -to mode[0]
159
set_location_assignment PIN_E6 -to mode[1]
160
set_location_assignment PIN_F6 -to mode[2]
161
set_location_assignment PIN_D3 -to buf_oe_n
162
set_location_assignment PIN_C15 -to lad[0]
163
set_location_assignment PIN_G11 -to lad[1]
164
set_location_assignment PIN_C16 -to lad[2]
165
set_location_assignment PIN_D16 -to lad[3]
166
set_location_assignment PIN_D15 -to lreset_n
167
set_location_assignment PIN_F13 -to lframe_n
168
set_location_assignment PIN_T15 -to resetn
169
set_location_assignment PIN_D9 -to hdr[0]
170
set_location_assignment PIN_C9 -to hdr[1]
171
set_location_assignment PIN_E9 -to hdr[2]
172
set_location_assignment PIN_B9 -to hdr[3]
173
set_location_assignment PIN_A9 -to hdr[4]
174
set_location_assignment PIN_A10 -to hdr[5]
175
set_location_assignment PIN_B10 -to hdr[6]
176
set_location_assignment PIN_A11 -to hdr[7]
177
set_location_assignment PIN_B11 -to hdr[8]
178
set_location_assignment PIN_A12 -to hdr[9]
179
set_location_assignment PIN_A13 -to hdr[10]
180
set_location_assignment PIN_B12 -to hdr[11]
181
set_location_assignment PIN_A14 -to hdr[12]
182
set_location_assignment PIN_B13 -to hdr[13]
183
set_location_assignment PIN_A15 -to hdr[14]
184
set_location_assignment PIN_B14 -to hdr[15]
185
set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS4
186
set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
187
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to scn_seg[0]
188
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to scn_seg[1]
189
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to scn_seg[2]
190
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to scn_seg[3]
191
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to seg_out
192
set_location_assignment PIN_B16 -to ldev_present
193
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hdr[1]
194
set_location_assignment PIN_J11 -to hdr_b[0]
195
set_location_assignment PIN_K11 -to hdr_b[1]
196
set_location_assignment PIN_L12 -to hdr_b[2]
197
set_location_assignment PIN_M12 -to hdr_b[3]
198
set_location_assignment PIN_J12 -to hdr_b[4]
199
set_location_assignment PIN_J14 -to hdr_b[5]
200
set_location_assignment PIN_R16 -to hdr_b[6]
201
set_location_assignment PIN_P16 -to hdr_b[7]
202
set_location_assignment PIN_N15 -to hdr_b[8]
203
set_location_assignment PIN_N16 -to hdr_b[9]
204
set_location_assignment PIN_K15 -to hdr_b[10]
205
set_location_assignment PIN_K16 -to hdr_b[11]
206
set_location_assignment PIN_J15 -to hdr_b[12]
207
set_location_assignment PIN_J16 -to hdr_b[13]
208
set_location_assignment PIN_L15 -to hdr_b[14]
209
set_location_assignment PIN_L16 -to hdr_b[15]
210
set_location_assignment PIN_N9 -to ps_ram_en
211
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to buf_oe_n
212
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ldev_present
213
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to ldev_present
214
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ps_ram_en
215
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to fl_we_n
216
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to fl_ce_n
217
set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
218
set_global_assignment -name MISC_FILE "C:/projects/Dongle_II_Board/altera_quartus_proj/dongle_syn.dpf"
219
set_location_assignment PIN_B4 -to scn_seg2[0]
220
set_location_assignment PIN_A5 -to scn_seg2[1]
221
set_location_assignment PIN_A8 -to scn_seg2[2]
222
set_location_assignment PIN_C6 -to scn_seg2[3]
223
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to scn_seg2
224
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to scn_seg2
225
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
226
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
227
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
228
set_location_assignment PIN_G16 -to lserirq
229
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to lserirq
230
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
231
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
232
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
233
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
234
set_global_assignment -name VHDL_FILE ../src/dongle_arch/dongle_arch.vhd
235
set_global_assignment -name VHDL_FILE ../src/lpc_proto/serirq.vhd -hdl_version VHDL_2008
236
set_global_assignment -name VHDL_FILE ../src/serial_usb/serial_usb_package.vhd
237
set_global_assignment -name VHDL_FILE ../src/serial_usb/serial_usb.vhd
238
set_global_assignment -name VHDL_FILE ../src/postcode_ser/fifo.vhd
239
set_global_assignment -name VHDL_FILE ../src/postcode_ser/pc_serializer.vhd
240
set_global_assignment -name VHDL_FILE ../src/usb/usb2mem.vhd
241
set_global_assignment -name VHDL_FILE ../src/lpc_proto/lpc_byte.vhd
242
set_global_assignment -name VHDL_FILE ../src/flash/flsh_if.vhd
243
set_global_assignment -name VHDL_FILE ../src/led_sys/led_coder.vhd
244
set_global_assignment -name VHDL_FILE ../src/led_sys/byte_scan_mux.vhd
245
set_global_assignment -name VHDL_FILE ../src/led_sys/led_sys.vhd
246
set_global_assignment -name VHDL_FILE ../src/design_top/design_top_thincandbg.vhd
247
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.