OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [trunk/] [altera_quartus_proj/] [make_bin_output_file.cof] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 nuubik
2
3
        NONE
4
        dongle_syn.rpd
5
        1
6
        1
7
        7
8
        
9
                1
10
                
11
                        dongle_syn.pof
12
                
13
        
14
        4
15
        
16
                1
17
        
18

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.