OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [trunk/] [src/] [bus_arbiter/] [bus_arbiter.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 nuubik
------------------------------------------------------------------
2
-- Universal dongle board source code
3
-- 
4
-- Copyright (C) 2006 Artec Design <jyrit@artecdesign.ee>
5
-- 
6
-- This source code is free hardware; you can redistribute it and/or
7
-- modify it under the terms of the GNU Lesser General Public
8
-- License as published by the Free Software Foundation; either
9
-- version 2.1 of the License, or (at your option) any later version.
10
-- 
11
-- This source code is distributed in the hope that it will be useful,
12
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
13
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
-- Lesser General Public License for more details.
15
-- 
16
-- You should have received a copy of the GNU Lesser General Public
17
-- License along with this library; if not, write to the Free Software
18
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301  USA
19
-- 
20
-- 
21
-- The complete text of the GNU Lesser General Public License can be found in 
22
-- the file 'lesser.txt'.
23
 
24
 
25
library IEEE;
26
use IEEE.std_logic_1164.all;
27
use IEEE.std_logic_unsigned.all;
28
use IEEE.std_logic_arith.all;
29
 
30
use bus_arbiter_pkg.all;
31
 
32
 
33
 
34
entity bus_arbiter is
35
  generic (
36
         dev_count: integer:= 1;
37
         has_clock: array(integer range 0 to dev_count-1) of std_logic:=(others=>'0')  --if provided clock should be used
38
  );
39
  port (
40
    clk       : in  std_logic;  --default system clock
41
    reset_n   : in  std_logic;
42
    -- mem Bus out
43
 
44
    mem_clk   : out std_logic;
45
    mem_rstn  : out std_logic;   --reset to mem block (used when mux'ing clock)
46
    mem_addr  : out std_logic_vector(23 downto 0);
47
    mem_do    : out std_logic_vector(15 downto 0);
48
    mem_di    : in  std_logic_vector(15 downto 0);
49
 
50
    mem_wr    : out  std_logic;  --write not read signal
51
    mem_val   : out  std_logic;
52
    mem_ack   : in std_logic;
53
 
54
 
55
    -- dev Bus in
56
    dev_clk   : in  array(integer range 0 to dev_count-1) of std_logic;  --clock option
57
    dev_addr  : in  array(integer range 0 to dev_count-1) of std_logic_vector(23 downto 0);
58
    dev_do    : out array(integer range 0 to dev_count-1) of std_logic_vector(15 downto 0);
59
    dev_di    : in  array(integer range 0 to dev_count-1) of std_logic_vector(15 downto 0);
60
 
61
    dev_wr    : in  array(integer range 0 to dev_count-1) of std_logic;  --write not read signal
62
    dev_val   : in  array(integer range 0 to dev_count-1) of std_logic;
63
    dev_ack   : out array(integer range 0 to dev_count-1) of std_logic
64
    );
65
end bus_arbiter;
66
 
67
 
68
 
69
architecture RTL of bus_arbiter is
70
 
71
 
72
begin
73
 
74
 
75
 
76
 
77
end RTL;
78
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.