OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [trunk/] [src/] [bus_arbiter/] [bus_arbiter_pkg.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 nuubik
package bus_arbiter_pkg is
2
 
3
type vci_master is
4
        record
5
                dev_clk   : array(integer range 0 to dev_count-1) of std_logic;  --clock option
6
                dev_addr  : array(integer range 0 to dev_count-1) of std_logic_vector(23 downto 0);
7
                dev_do    : array(integer range 0 to dev_count-1) of std_logic_vector(15 downto 0);
8
                dev_di    : array(integer range 0 to dev_count-1) of std_logic_vector(15 downto 0);
9
 
10
                dev_wr    : array(integer range 0 to dev_count-1) of std_logic;  --write not read signal
11
                dev_val   : array(integer range 0 to dev_count-1) of std_logic;
12
                dev_ack   : array(integer range 0 to dev_count-1) of std_logic;
13
 
14
        end record;
15
 
16
 
17
 
18
 
19 5 nuubik
end bus_arbiter_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.