OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [trunk/] [src/] [postcode_ser/] [fifo.cmp] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 nuubik
--Copyright (C) 1991-2006 Altera Corporation
2
--Your use of Altera Corporation's design tools, logic functions
3
--and other software and tools, and its AMPP partner logic
4
--functions, and any output files any of the foregoing
5
--(including device programming or simulation files), and any
6
--associated documentation or information are expressly subject
7
--to the terms and conditions of the Altera Program License
8
--Subscription Agreement, Altera MegaCore Function License
9
--Agreement, or other applicable license agreement, including,
10
--without limitation, that your use is for the sole purpose of
11
--programming logic devices manufactured by Altera and sold by
12
--Altera or its authorized distributors.  Please refer to the
13
--applicable agreement for further details.
14
 
15
 
16
component fifo
17
        PORT
18
        (
19
                aclr            : IN STD_LOGIC ;
20
                clock           : IN STD_LOGIC ;
21
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
22
                rdreq           : IN STD_LOGIC ;
23
                wrreq           : IN STD_LOGIC ;
24
                almost_full             : OUT STD_LOGIC ;
25
                empty           : OUT STD_LOGIC ;
26
                full            : OUT STD_LOGIC ;
27
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
28
                usedw           : OUT STD_LOGIC_VECTOR (12 DOWNTO 0)
29
        );
30
end component;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.