OpenCores
URL https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk

Subversion Repositories artec_dongle_ii_fpga

[/] [artec_dongle_ii_fpga/] [trunk/] [src/] [postcode_ser/] [fifo.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 nuubik
-- megafunction wizard: %LPM_FIFO+%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: scfifo 
5
 
6
-- ============================================================
7
-- File Name: fifo.vhd
8
-- Megafunction Name(s):
9
--                      scfifo
10
-- ============================================================
11
-- ************************************************************
12
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
--
14
-- 6.0 Build 202 06/20/2006 SP 1 SJ Web Edition
15
-- ************************************************************
16
 
17
 
18
--Copyright (C) 1991-2006 Altera Corporation
19
--Your use of Altera Corporation's design tools, logic functions 
20
--and other software and tools, and its AMPP partner logic 
21
--functions, and any output files any of the foregoing 
22
--(including device programming or simulation files), and any 
23
--associated documentation or information are expressly subject 
24
--to the terms and conditions of the Altera Program License 
25
--Subscription Agreement, Altera MegaCore Function License 
26
--Agreement, or other applicable license agreement, including, 
27
--without limitation, that your use is for the sole purpose of 
28
--programming logic devices manufactured by Altera and sold by 
29
--Altera or its authorized distributors.  Please refer to the 
30
--applicable agreement for further details.
31
 
32
 
33
LIBRARY ieee;
34
USE ieee.std_logic_1164.all;
35
 
36
LIBRARY altera_mf;
37
USE altera_mf.all;
38
 
39
ENTITY fifo IS
40
        PORT
41
        (
42
                aclr            : IN STD_LOGIC ;
43
                clock           : IN STD_LOGIC ;
44
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
45
                rdreq           : IN STD_LOGIC ;
46
                wrreq           : IN STD_LOGIC ;
47
                almost_full             : OUT STD_LOGIC ;
48
                empty           : OUT STD_LOGIC ;
49
                full            : OUT STD_LOGIC ;
50
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
51
                usedw           : OUT STD_LOGIC_VECTOR (12 DOWNTO 0)
52
        );
53
END fifo;
54
 
55
 
56
ARCHITECTURE SYN OF fifo IS
57
 
58
        SIGNAL sub_wire0        : STD_LOGIC ;
59
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (12 DOWNTO 0);
60
        SIGNAL sub_wire2        : STD_LOGIC ;
61
        SIGNAL sub_wire3        : STD_LOGIC_VECTOR (7 DOWNTO 0);
62
        SIGNAL sub_wire4        : STD_LOGIC ;
63
 
64
 
65
 
66
        COMPONENT scfifo
67
        GENERIC (
68
                add_ram_output_register         : STRING;
69
                almost_full_value               : NATURAL;
70
                intended_device_family          : STRING;
71
                lpm_numwords            : NATURAL;
72
                lpm_showahead           : STRING;
73
                lpm_type                : STRING;
74
                lpm_width               : NATURAL;
75
                lpm_widthu              : NATURAL;
76
                overflow_checking               : STRING;
77
                underflow_checking              : STRING;
78
                use_eab         : STRING
79
        );
80
        PORT (
81
                        almost_full     : OUT STD_LOGIC ;
82
                        usedw   : OUT STD_LOGIC_VECTOR (12 DOWNTO 0);
83
                        rdreq   : IN STD_LOGIC ;
84
                        empty   : OUT STD_LOGIC ;
85
                        aclr    : IN STD_LOGIC ;
86
                        clock   : IN STD_LOGIC ;
87
                        q       : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
88
                        wrreq   : IN STD_LOGIC ;
89
                        data    : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
90
                        full    : OUT STD_LOGIC
91
        );
92
        END COMPONENT;
93
 
94
BEGIN
95
        almost_full    <= sub_wire0;
96
        usedw    <= sub_wire1(12 DOWNTO 0);
97
        empty    <= sub_wire2;
98
        q    <= sub_wire3(7 DOWNTO 0);
99
        full    <= sub_wire4;
100
 
101
        scfifo_component : scfifo
102
        GENERIC MAP (
103
                add_ram_output_register => "ON",
104
                almost_full_value => 8000,
105
                intended_device_family => "Cyclone",
106
                lpm_numwords => 8192,
107
                lpm_showahead => "OFF",
108
                lpm_type => "scfifo",
109
                lpm_width => 8,
110
                lpm_widthu => 13,
111
                overflow_checking => "ON",
112
                underflow_checking => "ON",
113
                use_eab => "ON"
114
        )
115
        PORT MAP (
116
                rdreq => rdreq,
117
                aclr => aclr,
118
                clock => clock,
119
                wrreq => wrreq,
120
                data => data,
121
                almost_full => sub_wire0,
122
                usedw => sub_wire1,
123
                empty => sub_wire2,
124
                q => sub_wire3,
125
                full => sub_wire4
126
        );
127
 
128
 
129
 
130
END SYN;
131
 
132
-- ============================================================
133
-- CNX file retrieval info
134
-- ============================================================
135
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
136
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
137
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "1"
138
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "8000"
139
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
140
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
141
-- Retrieval info: PRIVATE: Depth NUMERIC "8192"
142
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
143
-- Retrieval info: PRIVATE: Full NUMERIC "1"
144
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
145
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
146
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
147
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
148
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
149
-- Retrieval info: PRIVATE: Optimize NUMERIC "1"
150
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
151
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
152
-- Retrieval info: PRIVATE: UsedW NUMERIC "1"
153
-- Retrieval info: PRIVATE: Width NUMERIC "8"
154
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
155
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
156
-- Retrieval info: PRIVATE: rsFull NUMERIC "1"
157
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
158
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "1"
159
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
160
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
161
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
162
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
163
-- Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "ON"
164
-- Retrieval info: CONSTANT: ALMOST_FULL_VALUE NUMERIC "8000"
165
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
166
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "8192"
167
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
168
-- Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
169
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
170
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "13"
171
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
172
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
173
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
174
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
175
-- Retrieval info: USED_PORT: almost_full 0 0 0 0 OUTPUT NODEFVAL almost_full
176
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
177
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
178
-- Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty
179
-- Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full
180
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
181
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
182
-- Retrieval info: USED_PORT: usedw 0 0 13 0 OUTPUT NODEFVAL usedw[12..0]
183
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
184
-- Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0
185
-- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
186
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
187
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
188
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
189
-- Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0
190
-- Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
191
-- Retrieval info: CONNECT: usedw 0 0 13 0 @usedw 0 0 13 0
192
-- Retrieval info: CONNECT: almost_full 0 0 0 0 @almost_full 0 0 0 0
193
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
194
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
195
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.vhd TRUE
196
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.inc FALSE
197
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.cmp TRUE
198
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.bsf TRUE FALSE
199
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_inst.vhd TRUE
200
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_waveforms.html TRUE
201
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.