OpenCores
URL https://opencores.org/ocsvn/astron_fifo/astron_fifo/trunk

Subversion Repositories astron_fifo

[/] [astron_fifo/] [trunk/] [dp_fifo_fill.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
--------------------------------------------------------------------------------
2
--
3
-- Copyright (C) 2010
4
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
-- This program is free software: you can redistribute it and/or modify
8
-- it under the terms of the GNU General Public License as published by
9
-- the Free Software Foundation, either version 3 of the License, or
10
-- (at your option) any later version.
11
--
12
-- This program is distributed in the hope that it will be useful,
13
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
14
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
-- GNU General Public License for more details.
16
--
17
-- You should have received a copy of the GNU General Public License
18
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
--------------------------------------------------------------------------------
21
 
22
-- Purpose: Wrapper of dp_fifo_fill_sc.vhd
23
-- Description: See dp_fifo_fill_core.vhd
24
-- Remark:
25
--   This wrapper is for backwards compatibility, better use dp_fifo_fill_sc.vhd
26
--   for new designs.
27
 
28
LIBRARY IEEE, common_pkg_lib, dp_pkg_lib, technology_lib;
29
USE IEEE.std_logic_1164.ALL;
30
USE IEEE.numeric_std.ALL;
31
USE common_pkg_lib.common_pkg.ALL;
32
USE dp_pkg_lib.dp_stream_pkg.ALL;
33
USE technology_lib.technology_select_pkg.ALL;
34
 
35
ENTITY dp_fifo_fill IS
36
  GENERIC (
37
    g_technology     : NATURAL := c_tech_select_default;
38
    g_data_w         : NATURAL := 16;
39
    g_bsn_w          : NATURAL := 1;
40
    g_empty_w        : NATURAL := 1;
41
    g_channel_w      : NATURAL := 1;
42
    g_error_w        : NATURAL := 1;
43
    g_use_bsn        : BOOLEAN := FALSE;
44
    g_use_empty      : BOOLEAN := FALSE;
45
    g_use_channel    : BOOLEAN := FALSE;
46
    g_use_error      : BOOLEAN := FALSE;
47
    g_use_sync       : BOOLEAN := FALSE;
48
    g_use_complex    : BOOLEAN := FALSE;  -- TRUE feeds the concatenated complex fields (im & re) through the FIFO instead of the data field.
49
    g_fifo_fill      : NATURAL := 0;
50
    g_fifo_size      : NATURAL := 256;    -- (32+2) * 256 = 1 M9K, g_data_w+2 for sop and eop
51
    g_fifo_af_margin : NATURAL := 4;      -- Nof words below max (full) at which fifo is considered almost full
52
    g_fifo_rl        : NATURAL := 1       -- use RL=0 for internal show ahead FIFO, default use RL=1 for internal normal FIFO
53
  );
54
  PORT (
55
    rst         : IN  STD_LOGIC;
56
    clk         : IN  STD_LOGIC;
57
 
58
    -- Monitor FIFO filling
59
    wr_ful      : OUT STD_LOGIC;
60
    usedw       : OUT STD_LOGIC_VECTOR(ceil_log2(largest(g_fifo_size, g_fifo_fill + g_fifo_af_margin + 2))-1 DOWNTO 0);  -- = ceil_log2(c_fifo_size)-1 DOWNTO 0
61
    rd_emp      : OUT STD_LOGIC;
62
 
63
    -- MM control FIFO filling (assume 32 bit MM interface)
64
    wr_usedw_32b : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);  -- = wr_usedw
65
    rd_usedw_32b : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);  -- = rd_usedw
66
    rd_fill_32b  : IN  STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := TO_UVEC(g_fifo_fill, c_word_w);
67
 
68
    -- ST sink
69
    snk_out     : OUT t_dp_siso;
70
    snk_in      : IN  t_dp_sosi;
71
    -- ST source
72
    src_in      : IN  t_dp_siso;
73
    src_out     : OUT t_dp_sosi
74
  );
75
END dp_fifo_fill;
76
 
77
 
78
ARCHITECTURE str OF dp_fifo_fill IS
79
BEGIN
80
 
81
  u_dp_fifo_fill_sc : ENTITY work.dp_fifo_fill_sc
82
  GENERIC MAP (
83
    g_technology     => g_technology,
84
    g_data_w         => g_data_w,
85
    g_bsn_w          => g_bsn_w,
86
    g_empty_w        => g_empty_w,
87
    g_channel_w      => g_channel_w,
88
    g_error_w        => g_error_w,
89
    g_use_bsn        => g_use_bsn,
90
    g_use_empty      => g_use_empty,
91
    g_use_channel    => g_use_channel,
92
    g_use_error      => g_use_error,
93
    g_use_sync       => g_use_sync,
94
    g_use_complex    => g_use_complex,
95
    g_fifo_fill      => g_fifo_fill,
96
    g_fifo_size      => g_fifo_size,
97
    g_fifo_af_margin => g_fifo_af_margin,
98
    g_fifo_rl        => g_fifo_rl
99
  )
100
  PORT MAP (
101
    rst         => rst,
102
    clk         => clk,
103
 
104
    -- Monitor FIFO filling
105
    wr_ful      => wr_ful,
106
    usedw       => usedw,
107
    rd_emp      => rd_emp,
108
 
109
    -- MM control FIFO filling (assume 32 bit MM interface)
110
    wr_usedw_32b => wr_usedw_32b,
111
    rd_usedw_32b => rd_usedw_32b,
112
    rd_fill_32b  => rd_fill_32b,
113
 
114
    -- ST sink
115
    snk_out     => snk_out,
116
    snk_in      => snk_in,
117
    -- ST source
118
    src_in      => src_in,
119
    src_out     => src_out
120
  );
121
 
122
END str;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.