OpenCores
URL https://opencores.org/ocsvn/astron_multiplier/astron_multiplier/trunk

Subversion Repositories astron_multiplier

[/] [astron_multiplier/] [trunk/] [tech_complex_mult.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3
-- Copyright (C) 2009
4
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
-- This program is free software: you can redistribute it and/or modify
8
-- it under the terms of the GNU General Public License as published by
9
-- the Free Software Foundation, either version 3 of the License, or
10
-- (at your option) any later version.
11
--
12
-- This program is distributed in the hope that it will be useful,
13
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
14
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
-- GNU General Public License for more details.
16
--
17
-- You should have received a copy of the GNU General Public License
18
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
-------------------------------------------------------------------------------
21
 
22
LIBRARY IEEE, common_pkg_lib, common_components_lib, technology_lib;
23
USE IEEE.std_logic_1164.ALL;
24
USE common_pkg_lib.common_pkg.ALL;
25
USE technology_lib.technology_pkg.ALL;
26
USE technology_lib.technology_select_pkg.ALL;
27
USE work.tech_mult_component_pkg.ALL;
28
 
29
-- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
30
LIBRARY ip_stratixiv_mult_lib;
31
--LIBRARY ip_arria10_mult_lib;
32
--LIBRARY ip_arria10_mult_rtl_lib;
33
--LIBRARY ip_arria10_complex_mult_altmult_complex_150;
34
--LIBRARY ip_arria10_e1sg_complex_mult_altmult_complex_170;
35
--LIBRARY ip_arria10_complex_mult_rtl_lib;
36
--LIBRARY ip_arria10_complex_mult_rtl_canonical_lib;
37
 
38
ENTITY tech_complex_mult IS
39
  GENERIC (
40
    g_sim              : BOOLEAN := TRUE;
41
    g_sim_level        : NATURAL := 0; -- 0: Simulate variant passed via g_variant for given g_technology
42
    g_technology       : NATURAL  := c_tech_select_default;
43
    g_variant          : STRING := "IP";
44
    g_in_a_w           : POSITIVE;
45
    g_in_b_w           : POSITIVE;
46
    g_out_p_w          : POSITIVE;          -- default use g_out_p_w = g_in_a_w+g_in_b_w = c_prod_w
47
    g_conjugate_b      : BOOLEAN := FALSE;
48
    g_pipeline_input   : NATURAL := 1;      -- 0 or 1
49
    g_pipeline_product : NATURAL := 0;      -- 0 or 1
50
    g_pipeline_adder   : NATURAL := 1;      -- 0 or 1
51
    g_pipeline_output  : NATURAL := 1       -- >= 0
52
  );
53
  PORT (
54
    rst        : IN   STD_LOGIC := '0';
55
    clk        : IN   STD_LOGIC;
56
    clken      : IN   STD_LOGIC := '1';
57
    in_ar      : IN   STD_LOGIC_VECTOR(g_in_a_w-1 DOWNTO 0);
58
    in_ai      : IN   STD_LOGIC_VECTOR(g_in_a_w-1 DOWNTO 0);
59
    in_br      : IN   STD_LOGIC_VECTOR(g_in_b_w-1 DOWNTO 0);
60
    in_bi      : IN   STD_LOGIC_VECTOR(g_in_b_w-1 DOWNTO 0);
61
    result_re  : OUT  STD_LOGIC_VECTOR(g_out_p_w-1 DOWNTO 0);
62
    result_im  : OUT  STD_LOGIC_VECTOR(g_out_p_w-1 DOWNTO 0)
63
  );
64
END tech_complex_mult;
65
 
66
ARCHITECTURE str of tech_complex_mult is
67
 
68
  -- Force to maximum 18 bit width, because:
69
  -- . the ip_stratixiv_complex_mult is generated for 18b inputs and 36b output and then uses 4 real multipliers and no additional registers
70
  -- . if one input   > 18b then another IP needs to be regenerated and that will use  8 real multipliers and some extra LUTs and registers
71
  -- . if both inputs > 18b then another IP needs to be regenerated and that will use 16 real multipliers and some extra LUTs and registers
72
  -- . if the output is set to 18b+18b + 1b =37b to account for the sum then another IP needs to be regenerated and that will use some extra registers
73
  -- ==> for inputs <= 18b this ip_stratixiv_complex_mult is appropriate and it can not be made parametrisable to fit also inputs > 18b.
74
  CONSTANT c_dsp_dat_w    : NATURAL  := 18;
75
  CONSTANT c_dsp_prod_w   : NATURAL  := 2*c_dsp_dat_w;
76
 
77
  SIGNAL ar        : STD_LOGIC_VECTOR(c_dsp_dat_w-1 DOWNTO 0);
78
  SIGNAL ai        : STD_LOGIC_VECTOR(c_dsp_dat_w-1 DOWNTO 0);
79
  SIGNAL br        : STD_LOGIC_VECTOR(c_dsp_dat_w-1 DOWNTO 0);
80
  SIGNAL bi        : STD_LOGIC_VECTOR(c_dsp_dat_w-1 DOWNTO 0);
81
  SIGNAL mult_re   : STD_LOGIC_VECTOR(c_dsp_prod_w-1 DOWNTO 0);
82
  SIGNAL mult_im   : STD_LOGIC_VECTOR(c_dsp_prod_w-1 DOWNTO 0);
83
 
84
  -- sim_model=1
85
  SIGNAL result_re_undelayed : STD_LOGIC_VECTOR(g_in_b_w+g_in_a_w-1 DOWNTO 0);
86
  SIGNAL result_im_undelayed : STD_LOGIC_VECTOR(g_in_b_w+g_in_a_w-1 DOWNTO 0);
87
 
88
begin
89
 
90
  gen_ip_stratixiv_ip : IF (g_sim=FALSE OR (g_sim=TRUE AND g_sim_level=0)) AND (g_technology=c_tech_stratixiv AND g_variant="IP") GENERATE
91
 
92
    -- Adapt DSP input widths
93
    ar <= RESIZE_SVEC(in_ar, c_dsp_dat_w);
94
    ai <= RESIZE_SVEC(in_ai, c_dsp_dat_w);
95
    br <= RESIZE_SVEC(in_br, c_dsp_dat_w);
96
    bi <= RESIZE_SVEC(in_bi, c_dsp_dat_w) WHEN g_conjugate_b=FALSE ELSE TO_SVEC(-TO_SINT(in_bi), c_dsp_dat_w);
97
 
98
    u0 : ip_stratixiv_complex_mult
99
    PORT MAP (
100
         aclr        => rst,
101
         clock       => clk,
102
         dataa_imag  => ai,
103
         dataa_real  => ar,
104
         datab_imag  => bi,
105
         datab_real  => br,
106
         ena         => clken,
107
         result_imag => mult_im,
108
         result_real => mult_re
109
         );
110
 
111
    -- Back to true input widths and then resize for output width
112
    result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
113
    result_im <= RESIZE_SVEC(mult_im, g_out_p_w);
114
 
115
  END GENERATE;
116
 
117
  gen_ip_stratixiv_rtl : IF (g_sim=FALSE OR (g_sim=TRUE AND g_sim_level=0)) AND (g_technology=c_tech_stratixiv AND g_variant="RTL") GENERATE
118
    u0 : ip_stratixiv_complex_mult_rtl
119
  GENERIC MAP(
120
    g_in_a_w           => g_in_a_w,
121
    g_in_b_w           => g_in_b_w,
122
    g_out_p_w          => g_out_p_w,
123
    g_conjugate_b      => g_conjugate_b,
124
    g_pipeline_input   => g_pipeline_input,
125
    g_pipeline_product => g_pipeline_product,
126
    g_pipeline_adder   => g_pipeline_adder,
127
    g_pipeline_output  => g_pipeline_output
128
  )
129
  PORT MAP(
130
    rst        => rst,
131
    clk        => clk,
132
    clken      => clken,
133
    in_ar      => in_ar,
134
    in_ai      => in_ai,
135
    in_br      => in_br,
136
    in_bi      => in_bi,
137
    result_re  => result_re,
138
    result_im  => result_im
139
    );
140
  END GENERATE;
141
 
142
--  gen_ip_arria10_ip : IF (g_sim=FALSE OR (g_sim=TRUE AND g_sim_level=0)) AND (g_technology=c_tech_arria10 AND g_variant="IP") GENERATE
143
--
144
--    -- Adapt DSP input widths
145
--    ar <= RESIZE_SVEC(in_ar, c_dsp_dat_w);
146
--    ai <= RESIZE_SVEC(in_ai, c_dsp_dat_w);
147
--    br <= RESIZE_SVEC(in_br, c_dsp_dat_w);
148
--    bi <= RESIZE_SVEC(in_bi, c_dsp_dat_w) WHEN g_conjugate_b=FALSE ELSE TO_SVEC(-TO_SINT(in_bi), c_dsp_dat_w);
149
--
150
--    u0 : ip_arria10_complex_mult
151
--    PORT MAP (
152
--         aclr        => rst,
153
--         clock       => clk,
154
--         dataa_imag  => ai,
155
--         dataa_real  => ar,
156
--         datab_imag  => bi,
157
--         datab_real  => br,
158
--         ena         => clken,
159
--         result_imag => mult_im,
160
--         result_real => mult_re
161
--         );
162
--
163
--    -- Back to true input widths and then resize for output width
164
--    result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
165
--    result_im <= RESIZE_SVEC(mult_im, g_out_p_w);
166
--
167
--  END GENERATE;
168
--
169
--  -- RTL variant is the same for unb2, unb2a and unb2b
170
--  gen_ip_arria10_rtl : IF (g_sim=FALSE OR (g_sim=TRUE AND g_sim_level=0)) AND 
171
--      ((g_technology=c_tech_arria10 OR g_technology=c_tech_arria10_e3sge3 OR g_technology=c_tech_arria10_e1sg ) AND g_variant="RTL") GENERATE
172
--    u0 : ip_arria10_complex_mult_rtl
173
--  GENERIC MAP(
174
--    g_in_a_w           => g_in_a_w,
175
--    g_in_b_w           => g_in_b_w,
176
--    g_out_p_w          => g_out_p_w,
177
--    g_conjugate_b      => g_conjugate_b,
178
--    g_pipeline_input   => g_pipeline_input,
179
--    g_pipeline_product => g_pipeline_product,
180
--    g_pipeline_adder   => g_pipeline_adder,
181
--    g_pipeline_output  => g_pipeline_output
182
--  )
183
--  PORT MAP(
184
--    rst        => rst,
185
--    clk        => clk,
186
--    clken      => clken,
187
--    in_ar      => in_ar,
188
--    in_ai      => in_ai,
189
--    in_br      => in_br,
190
--    in_bi      => in_bi,
191
--    result_re  => result_re,
192
--    result_im  => result_im
193
--    );
194
--  END GENERATE;
195
--
196
--  gen_ip_arria10_rtl_canonical : IF (g_sim=FALSE OR (g_sim=TRUE AND g_sim_level=0)) AND 
197
--      ((g_technology=c_tech_arria10 OR g_technology=c_tech_arria10_e3sge3 OR g_technology=c_tech_arria10_e1sg ) AND g_variant="RTL_C") GENERATE
198
--    u0 : ip_arria10_complex_mult_rtl_canonical
199
--  GENERIC MAP(
200
--    g_in_a_w           => g_in_a_w,
201
--    g_in_b_w           => g_in_b_w,
202
--    g_out_p_w          => g_out_p_w,
203
----    g_conjugate_b      => g_conjugate_b, -- NOT SUPPORTED
204
--    g_pipeline_input   => g_pipeline_input,
205
--    g_pipeline_product => g_pipeline_product,
206
--    g_pipeline_adder   => g_pipeline_adder,
207
--    g_pipeline_output  => g_pipeline_output
208
--  )
209
--  PORT MAP(
210
--    rst        => rst,
211
--    clk        => clk,
212
--    clken      => clken,
213
--    in_ar      => in_ar,
214
--    in_ai      => in_ai,
215
--    in_br      => in_br,
216
--    in_bi      => in_bi,
217
--    result_re  => result_re,
218
--    result_im  => result_im
219
--    );
220
--  END GENERATE;
221
--
222
--
223
--  gen_ip_arria10_e1sg_ip : IF (g_sim=FALSE OR (g_sim=TRUE AND g_sim_level=0)) AND (g_technology=c_tech_arria10_e1sg AND g_variant="IP") GENERATE
224
--
225
--    -- Adapt DSP input widths
226
--    ar <= RESIZE_SVEC(in_ar, c_dsp_dat_w);
227
--    ai <= RESIZE_SVEC(in_ai, c_dsp_dat_w);
228
--    br <= RESIZE_SVEC(in_br, c_dsp_dat_w);
229
--    bi <= RESIZE_SVEC(in_bi, c_dsp_dat_w) WHEN g_conjugate_b=FALSE ELSE TO_SVEC(-TO_SINT(in_bi), c_dsp_dat_w);
230
--
231
--
232
--    u0 : ip_arria10_e1sg_complex_mult
233
--    PORT MAP (
234
--         aclr        => rst,
235
--         clock       => clk,
236
--         dataa_imag  => ai,
237
--         dataa_real  => ar,
238
--         datab_imag  => bi,
239
--         datab_real  => br,
240
--         ena         => clken,
241
--         result_imag => mult_im,
242
--         result_real => mult_re
243
--         );
244
--
245
--    -- Back to true input widths and then resize for output width
246
--    result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
247
--    result_im <= RESIZE_SVEC(mult_im, g_out_p_w);
248
--
249
--  END GENERATE;
250
 
251
  -------------------------------------------------------------------------------
252
  -- Model: forward concatenated inputs to the 'result' output
253
  -- 
254
  -- Example:
255
  --                                    ______ 
256
  -- Input B.real (in_br) = 0x1111 --> |      |
257
  --        .imag (in_bi) = 0xBBBB --> |      |
258
  --                                   | mult | --> Output result.real = 0x00000000
259
  -- Input A.real (in_ar) = 0x0000 --> |      |                  .imag = 0xBBBBAAAA
260
  --        .imag (in_ai) = 0xAAAA --> |______|
261
  -- 
262
  -- Note: this model is synthsizable as well.
263
  -- 
264
  -------------------------------------------------------------------------------
265
  gen_sim_level_1 : IF g_sim=TRUE AND g_sim_level=1 GENERATE --FIXME: g_sim required? This is synthesizable.
266
 
267
    result_re_undelayed <= in_br & in_ar;
268
    result_im_undelayed <= in_bi & in_ai;
269
 
270
    u_common_pipeline_re : entity common_components_lib.common_pipeline
271
    generic map (
272
      g_pipeline  => 3,
273
      g_in_dat_w  => g_in_b_w+g_in_a_w,
274
      g_out_dat_w => g_out_p_w
275
    )
276
    port map (
277
      clk     => clk,
278
      in_dat  => result_re_undelayed,
279
      out_dat => result_re
280
    );
281
 
282
    u_common_pipeline_im : entity common_components_lib.common_pipeline
283
    generic map (
284
      g_pipeline  => 3,
285
      g_in_dat_w  => g_in_b_w+g_in_a_w,
286
      g_out_dat_w => g_out_p_w
287
    )
288
    port map (
289
      clk     => clk,
290
      in_dat  => result_im_undelayed,
291
      out_dat => result_im
292
    );
293
 
294
  END GENERATE;
295
 
296
end str;
297
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.