OpenCores
URL https://opencores.org/ocsvn/astron_pipeline/astron_pipeline/trunk

Subversion Repositories astron_pipeline

[/] [astron_pipeline/] [trunk/] [tb_tb_dp_pipeline_ready.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3 3 danv
-- Copyright 2020
4 2 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 3 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 2 danv
--
19
-------------------------------------------------------------------------------
20
 
21
LIBRARY IEEE, dp_pkg_lib;
22
USE IEEE.std_logic_1164.ALL;
23
USE dp_pkg_lib.tb_dp_pkg.ALL;
24
 
25
 
26
-- > as 2
27
-- > run -all --> OK
28
 
29
ENTITY tb_tb_dp_pipeline_ready IS
30
END tb_tb_dp_pipeline_ready;
31
 
32
 
33
ARCHITECTURE tb OF tb_tb_dp_pipeline_ready IS
34
 
35
  CONSTANT c_nof_repeat : NATURAL := 50;
36
  SIGNAL tb_end : STD_LOGIC := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
37
 
38
BEGIN
39
 
40
  --                                                               in_en,    src_in.ready, in_latency, out_latency, nof repeat,
41
  -- Random flow control for different RL
42
  u_rnd_rnd_0_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          0,           c_nof_repeat);
43
  u_rnd_rnd_1_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          0,           c_nof_repeat);
44
  u_rnd_rnd_0_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          1,           c_nof_repeat);
45
  u_rnd_rnd_2_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          0,           c_nof_repeat);
46
  u_rnd_rnd_0_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          2,           c_nof_repeat);
47
  u_rnd_rnd_2_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          1,           c_nof_repeat);
48
  u_rnd_rnd_1_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          2,           c_nof_repeat);
49
  u_rnd_rnd_2_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          2,           c_nof_repeat);
50
 
51
  -- Other flow control for fixed RL
52
  u_act_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_active,     1,          1,           c_nof_repeat);
53
  u_act_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_random,     1,          1,           c_nof_repeat);
54
  u_act_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_pulse,      1,          1,           c_nof_repeat);
55
 
56
  u_rnd_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_active,     1,          1,           c_nof_repeat);
57
  u_rnd_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          1,           c_nof_repeat);
58
  u_rnd_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_pulse,      1,          1,           c_nof_repeat);
59
 
60
  u_pls_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_active,     1,          1,           c_nof_repeat);
61
  u_pls_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_random,     1,          1,           c_nof_repeat);
62
  u_pls_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_pulse,      1,          1,           c_nof_repeat);
63
 
64
END tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.