OpenCores
URL https://opencores.org/ocsvn/astron_r2sdf_fft/astron_r2sdf_fft/trunk

Subversion Repositories astron_r2sdf_fft

[/] [astron_r2sdf_fft/] [trunk/] [rTwoSDFPkg.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
--------------------------------------------------------------------------------
2
--   Author: Raj Thilak Rajan : rajan at astron.nl: Nov 2009
3
--   Copyright (C) 2009-2010
4
--   ASTRON (Netherlands Institute for Radio Astronomy)
5
--   P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
--   This file is part of the UniBoard software suite.
8
--   The file is free software: you can redistribute it and/or modify
9
--   it under the terms of the GNU General Public License as published by
10
--   the Free Software Foundation, either version 3 of the License, or
11
--   (at your option) any later version.
12
--
13
--   This program is distributed in the hope that it will be useful,
14
--   but WITHOUT ANY WARRANTY; without even the implied warranty of
15
--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16
--   GNU General Public License for more details.
17
--
18
--   You should have received a copy of the GNU General Public License
19
--   along with this program.  If not, see <http://www.gnu.org/licenses/>.
20
--------------------------------------------------------------------------------
21
 
22
Library ieee;
23
use IEEE.std_logic_1164.all;
24
 
25
package rTwoSDFPkg is
26
 
27
  -- Internal pipeline latencies and Z^(-1) pipeline settings for a stage in the rTwoSDF FFT
28
  -- Also used for other preallele and wideband FFT implementations (fft_lib)
29
  type t_fft_pipeline is record
30
    -- generics for rTwoSDFStage
31
    stage_lat      : natural;  -- = 1
32
    weight_lat     : natural;  -- = 1
33
    mul_lat        : natural;  -- = 3+1
34
    -- generics for rTwoBFStage
35
    bf_lat         : natural;  -- = 1
36
    -- generics for rTwoBF
37
    bf_use_zdly    : natural;  -- = 1
38
    bf_in_a_zdly   : natural;  -- = 0
39
    bf_out_d_zdly  : natural;  -- = 0
40
  end record;
41
 
42
  constant c_fft_pipeline   : t_fft_pipeline := (1, 1, 4, 1, 1, 0, 0);
43
 
44
end package rTwoSDFPkg;
45
 
46
package body rTwoSDFPkg IS
47
end rTwoSDFPkg;
48
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.