OpenCores
URL https://opencores.org/ocsvn/astron_r2sdf_fft/astron_r2sdf_fft/trunk

Subversion Repositories astron_r2sdf_fft

[/] [astron_r2sdf_fft/] [trunk/] [tb_tb_rTwoSDF.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
--------------------------------------------------------------------------------
2
--   Author: Raj Thilak Rajan : rajan at astron.nl: Nov 2009
3
--   Copyright (C) 2009-2010
4
--   ASTRON (Netherlands Institute for Radio Astronomy)
5
--   P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
--   This file is part of the UniBoard software suite.
8
--   The file is free software: you can redistribute it and/or modify
9
--   it under the terms of the GNU General Public License as published by
10
--   the Free Software Foundation, either version 3 of the License, or
11
--   (at your option) any later version.
12
--
13
--   This program is distributed in the hope that it will be useful,
14
--   but WITHOUT ANY WARRANTY; without even the implied warranty of
15
--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16
--   GNU General Public License for more details.
17
--
18
--   You should have received a copy of the GNU General Public License
19
--   along with this program.  If not, see <http://www.gnu.org/licenses/>.
20
--------------------------------------------------------------------------------
21
 
22
-- Purpose:
23
-- Description:
24
--   Generates FFT testbenches (tb_rTwoSDF) for various g_in_dat_w and
25
--   g_nof_points. Note that twiddlePkg.vhd must be generated for the largest
26
--   value of g_nof_points used in this structure.
27
 
28
library ieee, common_pkg_lib;
29
use IEEE.std_logic_1164.all;
30
use IEEE.std_logic_unsigned.all;
31
use IEEE.numeric_std.all;
32
use IEEE.std_logic_textio.all;
33
use STD.textio.all;
34
use common_pkg_lib.common_pkg.all;
35
use work.rTwoSDFPkg.all;
36
 
37
 
38
entity tb_tb_rTwoSDF is
39
end entity tb_tb_rTwoSDF;
40
 
41
architecture tb of tb_tb_rTwoSDF is
42
 
43
  signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
44
 
45
begin
46
 
47
--  -- generics for tb
48
--  g_use_uniNoise_file : boolean  := true;
49
--  g_in_en             : natural  := 0;     -- 1 = always active, others = random control
50
--  -- generics for rTwoSDF
51
--  g_use_reorder       : boolean  := true;
52
--  g_nof_points        : natural  := 1024;
53
--  g_in_dat_w          : natural  := 8;   
54
--  g_out_dat_w         : natural  := 14;   
55
--  g_guard_w           : natural  := 2      -- guard bits are used to avoid overflow in single FFT stage.   
56
 
57
  --u_act_impulse_16p_16i_16o         : entity work.tb_rTwoSDF generic map (false, 1,  true,   16, 16, 16, 2);
58
  u_act_noise_1024p_8i_14o          : entity work.tb_rTwoSDF generic map (true,  1,  true, 1024,  8, 14, 2);
59
  u_rnd_noise_1024p_8i_14o          : entity work.tb_rTwoSDF generic map (true,  0,  true, 1024,  8, 14, 2);
60
  u_rnd_noise_1024p_8i_14o_flipped  : entity work.tb_rTwoSDF generic map (true,  0, false, 1024,  8, 14, 2);
61
 
62
end tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.