1 |
2 |
danv |
-------------------------------------
|
2 |
|
|
--FILE GENERATED BY TWIDDLE GENERATOR
|
3 |
|
|
--DO NOT EDIT THIS FILE !!!
|
4 |
|
|
-------------------------------------
|
5 |
|
|
--Author :R.T.Rajan
|
6 |
|
|
--Date :05-Mar-2013
|
7 |
|
|
--Npoints :4096
|
8 |
|
|
--Nbits :18
|
9 |
|
|
-------------------------------------
|
10 |
|
|
|
11 |
|
|
|
12 |
|
|
Library ieee;
|
13 |
|
|
use ieee.std_logic_1164.all;
|
14 |
|
|
use ieee.numeric_std.all;
|
15 |
|
|
|
16 |
|
|
package twiddlesPkg is
|
17 |
|
|
constant copyRightNotice: string
|
18 |
|
|
:= "Copyright 2009 , ASTRON. All rights reserved.";
|
19 |
|
|
|
20 |
|
|
subtype wTyp is std_logic_vector(17 downto 0);
|
21 |
|
|
type wRowTyp is array( 1 to 2048 ) of wTyp;
|
22 |
|
|
type wMapTyp is array( integer range 0 to 2047, integer range 12 downto 1) of natural;
|
23 |
|
|
|
24 |
|
|
constant wRe: wRowTyp :=
|
25 |
|
|
(
|
26 |
|
|
b"011111111111111111",
|
27 |
|
|
b"011111111111111110",
|
28 |
|
|
b"011111111111111110",
|
29 |
|
|
b"011111111111111101",
|
30 |
|
|
b"011111111111111100",
|
31 |
|
|
b"011111111111111011",
|
32 |
|
|
b"011111111111111001",
|
33 |
|
|
b"011111111111110111",
|
34 |
|
|
b"011111111111110101",
|
35 |
|
|
b"011111111111110010",
|
36 |
|
|
b"011111111111101111",
|
37 |
|
|
b"011111111111101100",
|
38 |
|
|
b"011111111111101000",
|
39 |
|
|
b"011111111111100100",
|
40 |
|
|
b"011111111111100000",
|
41 |
|
|
b"011111111111011100",
|
42 |
|
|
b"011111111111010111",
|
43 |
|
|
b"011111111111010010",
|
44 |
|
|
b"011111111111001101",
|
45 |
|
|
b"011111111111000111",
|
46 |
|
|
b"011111111111000001",
|
47 |
|
|
b"011111111110111010",
|
48 |
|
|
b"011111111110110100",
|
49 |
|
|
b"011111111110101101",
|
50 |
|
|
b"011111111110100110",
|
51 |
|
|
b"011111111110011110",
|
52 |
|
|
b"011111111110010110",
|
53 |
|
|
b"011111111110001110",
|
54 |
|
|
b"011111111110000110",
|
55 |
|
|
b"011111111101111101",
|
56 |
|
|
b"011111111101110100",
|
57 |
|
|
b"011111111101101010",
|
58 |
|
|
b"011111111101100001",
|
59 |
|
|
b"011111111101010111",
|
60 |
|
|
b"011111111101001100",
|
61 |
|
|
b"011111111101000010",
|
62 |
|
|
b"011111111100110111",
|
63 |
|
|
b"011111111100101011",
|
64 |
|
|
b"011111111100100000",
|
65 |
|
|
b"011111111100010100",
|
66 |
|
|
b"011111111100001000",
|
67 |
|
|
b"011111111011111011",
|
68 |
|
|
b"011111111011101111",
|
69 |
|
|
b"011111111011100001",
|
70 |
|
|
b"011111111011010100",
|
71 |
|
|
b"011111111011000110",
|
72 |
|
|
b"011111111010111000",
|
73 |
|
|
b"011111111010101010",
|
74 |
|
|
b"011111111010011011",
|
75 |
|
|
b"011111111010001100",
|
76 |
|
|
b"011111111001111101",
|
77 |
|
|
b"011111111001101110",
|
78 |
|
|
b"011111111001011110",
|
79 |
|
|
b"011111111001001110",
|
80 |
|
|
b"011111111000111101",
|
81 |
|
|
b"011111111000101100",
|
82 |
|
|
b"011111111000011011",
|
83 |
|
|
b"011111111000001010",
|
84 |
|
|
b"011111110111111000",
|
85 |
|
|
b"011111110111100110",
|
86 |
|
|
b"011111110111010100",
|
87 |
|
|
b"011111110111000001",
|
88 |
|
|
b"011111110110101110",
|
89 |
|
|
b"011111110110011011",
|
90 |
|
|
b"011111110110000111",
|
91 |
|
|
b"011111110101110011",
|
92 |
|
|
b"011111110101011111",
|
93 |
|
|
b"011111110101001011",
|
94 |
|
|
b"011111110100110110",
|
95 |
|
|
b"011111110100100001",
|
96 |
|
|
b"011111110100001100",
|
97 |
|
|
b"011111110011110110",
|
98 |
|
|
b"011111110011100000",
|
99 |
|
|
b"011111110011001010",
|
100 |
|
|
b"011111110010110011",
|
101 |
|
|
b"011111110010011100",
|
102 |
|
|
b"011111110010000101",
|
103 |
|
|
b"011111110001101101",
|
104 |
|
|
b"011111110001010101",
|
105 |
|
|
b"011111110000111101",
|
106 |
|
|
b"011111110000100101",
|
107 |
|
|
b"011111110000001100",
|
108 |
|
|
b"011111101111110011",
|
109 |
|
|
b"011111101111011010",
|
110 |
|
|
b"011111101111000000",
|
111 |
|
|
b"011111101110100110",
|
112 |
|
|
b"011111101110001100",
|
113 |
|
|
b"011111101101110001",
|
114 |
|
|
b"011111101101010110",
|
115 |
|
|
b"011111101100111011",
|
116 |
|
|
b"011111101100011111",
|
117 |
|
|
b"011111101100000100",
|
118 |
|
|
b"011111101011100111",
|
119 |
|
|
b"011111101011001011",
|
120 |
|
|
b"011111101010101110",
|
121 |
|
|
b"011111101010010001",
|
122 |
|
|
b"011111101001110100",
|
123 |
|
|
b"011111101001010110",
|
124 |
|
|
b"011111101000111000",
|
125 |
|
|
b"011111101000011010",
|
126 |
|
|
b"011111100111111011",
|
127 |
|
|
b"011111100111011101",
|
128 |
|
|
b"011111100110111101",
|
129 |
|
|
b"011111100110011110",
|
130 |
|
|
b"011111100101111110",
|
131 |
|
|
b"011111100101011110",
|
132 |
|
|
b"011111100100111110",
|
133 |
|
|
b"011111100100011101",
|
134 |
|
|
b"011111100011111100",
|
135 |
|
|
b"011111100011011011",
|
136 |
|
|
b"011111100010111001",
|
137 |
|
|
b"011111100010010111",
|
138 |
|
|
b"011111100001110101",
|
139 |
|
|
b"011111100001010010",
|
140 |
|
|
b"011111100000101111",
|
141 |
|
|
b"011111100000001100",
|
142 |
|
|
b"011111011111101001",
|
143 |
|
|
b"011111011111000101",
|
144 |
|
|
b"011111011110100001",
|
145 |
|
|
b"011111011101111101",
|
146 |
|
|
b"011111011101011000",
|
147 |
|
|
b"011111011100110011",
|
148 |
|
|
b"011111011100001110",
|
149 |
|
|
b"011111011011101000",
|
150 |
|
|
b"011111011011000010",
|
151 |
|
|
b"011111011010011100",
|
152 |
|
|
b"011111011001110110",
|
153 |
|
|
b"011111011001001111",
|
154 |
|
|
b"011111011000101000",
|
155 |
|
|
b"011111011000000001",
|
156 |
|
|
b"011111010111011001",
|
157 |
|
|
b"011111010110110001",
|
158 |
|
|
b"011111010110001001",
|
159 |
|
|
b"011111010101100000",
|
160 |
|
|
b"011111010100110111",
|
161 |
|
|
b"011111010100001110",
|
162 |
|
|
b"011111010011100101",
|
163 |
|
|
b"011111010010111011",
|
164 |
|
|
b"011111010010010001",
|
165 |
|
|
b"011111010001100110",
|
166 |
|
|
b"011111010000111100",
|
167 |
|
|
b"011111010000010001",
|
168 |
|
|
b"011111001111100101",
|
169 |
|
|
b"011111001110111010",
|
170 |
|
|
b"011111001110001110",
|
171 |
|
|
b"011111001101100010",
|
172 |
|
|
b"011111001100110101",
|
173 |
|
|
b"011111001100001000",
|
174 |
|
|
b"011111001011011011",
|
175 |
|
|
b"011111001010101110",
|
176 |
|
|
b"011111001010000000",
|
177 |
|
|
b"011111001001010010",
|
178 |
|
|
b"011111001000100100",
|
179 |
|
|
b"011111000111110101",
|
180 |
|
|
b"011111000111000110",
|
181 |
|
|
b"011111000110010111",
|
182 |
|
|
b"011111000101100111",
|
183 |
|
|
b"011111000100111000",
|
184 |
|
|
b"011111000100001000",
|
185 |
|
|
b"011111000011010111",
|
186 |
|
|
b"011111000010100110",
|
187 |
|
|
b"011111000001110101",
|
188 |
|
|
b"011111000001000100",
|
189 |
|
|
b"011111000000010011",
|
190 |
|
|
b"011110111111100001",
|
191 |
|
|
b"011110111110101110",
|
192 |
|
|
b"011110111101111100",
|
193 |
|
|
b"011110111101001001",
|
194 |
|
|
b"011110111100010110",
|
195 |
|
|
b"011110111011100011",
|
196 |
|
|
b"011110111010101111",
|
197 |
|
|
b"011110111001111011",
|
198 |
|
|
b"011110111001000111",
|
199 |
|
|
b"011110111000010010",
|
200 |
|
|
b"011110110111011101",
|
201 |
|
|
b"011110110110101000",
|
202 |
|
|
b"011110110101110011",
|
203 |
|
|
b"011110110100111101",
|
204 |
|
|
b"011110110100000111",
|
205 |
|
|
b"011110110011010000",
|
206 |
|
|
b"011110110010011010",
|
207 |
|
|
b"011110110001100011",
|
208 |
|
|
b"011110110000101011",
|
209 |
|
|
b"011110101111110100",
|
210 |
|
|
b"011110101110111100",
|
211 |
|
|
b"011110101110000100",
|
212 |
|
|
b"011110101101001011",
|
213 |
|
|
b"011110101100010011",
|
214 |
|
|
b"011110101011011010",
|
215 |
|
|
b"011110101010100000",
|
216 |
|
|
b"011110101001100111",
|
217 |
|
|
b"011110101000101101",
|
218 |
|
|
b"011110100111110011",
|
219 |
|
|
b"011110100110111000",
|
220 |
|
|
b"011110100101111101",
|
221 |
|
|
b"011110100101000010",
|
222 |
|
|
b"011110100100000111",
|
223 |
|
|
b"011110100011001011",
|
224 |
|
|
b"011110100010001111",
|
225 |
|
|
b"011110100001010011",
|
226 |
|
|
b"011110100000010110",
|
227 |
|
|
b"011110011111011001",
|
228 |
|
|
b"011110011110011100",
|
229 |
|
|
b"011110011101011111",
|
230 |
|
|
b"011110011100100001",
|
231 |
|
|
b"011110011011100011",
|
232 |
|
|
b"011110011010100101",
|
233 |
|
|
b"011110011001100110",
|
234 |
|
|
b"011110011000100111",
|
235 |
|
|
b"011110010111101000",
|
236 |
|
|
b"011110010110101000",
|
237 |
|
|
b"011110010101101001",
|
238 |
|
|
b"011110010100101000",
|
239 |
|
|
b"011110010011101000",
|
240 |
|
|
b"011110010010100111",
|
241 |
|
|
b"011110010001100110",
|
242 |
|
|
b"011110010000100101",
|
243 |
|
|
b"011110001111100100",
|
244 |
|
|
b"011110001110100010",
|
245 |
|
|
b"011110001101100000",
|
246 |
|
|
b"011110001100011101",
|
247 |
|
|
b"011110001011011011",
|
248 |
|
|
b"011110001010011000",
|
249 |
|
|
b"011110001001010100",
|
250 |
|
|
b"011110001000010001",
|
251 |
|
|
b"011110000111001101",
|
252 |
|
|
b"011110000110001001",
|
253 |
|
|
b"011110000101000100",
|
254 |
|
|
b"011110000011111111",
|
255 |
|
|
b"011110000010111010",
|
256 |
|
|
b"011110000001110101",
|
257 |
|
|
b"011110000000101111",
|
258 |
|
|
b"011101111111101001",
|
259 |
|
|
b"011101111110100011",
|
260 |
|
|
b"011101111101011101",
|
261 |
|
|
b"011101111100010110",
|
262 |
|
|
b"011101111011001111",
|
263 |
|
|
b"011101111010001000",
|
264 |
|
|
b"011101111001000000",
|
265 |
|
|
b"011101110111111000",
|
266 |
|
|
b"011101110110110000",
|
267 |
|
|
b"011101110101100111",
|
268 |
|
|
b"011101110100011111",
|
269 |
|
|
b"011101110011010101",
|
270 |
|
|
b"011101110010001100",
|
271 |
|
|
b"011101110001000010",
|
272 |
|
|
b"011101101111111000",
|
273 |
|
|
b"011101101110101110",
|
274 |
|
|
b"011101101101100100",
|
275 |
|
|
b"011101101100011001",
|
276 |
|
|
b"011101101011001110",
|
277 |
|
|
b"011101101010000010",
|
278 |
|
|
b"011101101000110111",
|
279 |
|
|
b"011101100111101011",
|
280 |
|
|
b"011101100110011111",
|
281 |
|
|
b"011101100101010010",
|
282 |
|
|
b"011101100100000101",
|
283 |
|
|
b"011101100010111000",
|
284 |
|
|
b"011101100001101011",
|
285 |
|
|
b"011101100000011101",
|
286 |
|
|
b"011101011111001111",
|
287 |
|
|
b"011101011110000001",
|
288 |
|
|
b"011101011100110011",
|
289 |
|
|
b"011101011011100100",
|
290 |
|
|
b"011101011010010101",
|
291 |
|
|
b"011101011001000101",
|
292 |
|
|
b"011101010111110110",
|
293 |
|
|
b"011101010110100110",
|
294 |
|
|
b"011101010101010110",
|
295 |
|
|
b"011101010100000101",
|
296 |
|
|
b"011101010010110100",
|
297 |
|
|
b"011101010001100011",
|
298 |
|
|
b"011101010000010010",
|
299 |
|
|
b"011101001111000000",
|
300 |
|
|
b"011101001101101110",
|
301 |
|
|
b"011101001100011100",
|
302 |
|
|
b"011101001011001010",
|
303 |
|
|
b"011101001001110111",
|
304 |
|
|
b"011101001000100100",
|
305 |
|
|
b"011101000111010001",
|
306 |
|
|
b"011101000101111101",
|
307 |
|
|
b"011101000100101001",
|
308 |
|
|
b"011101000011010101",
|
309 |
|
|
b"011101000010000001",
|
310 |
|
|
b"011101000000101100",
|
311 |
|
|
b"011100111111010111",
|
312 |
|
|
b"011100111110000010",
|
313 |
|
|
b"011100111100101100",
|
314 |
|
|
b"011100111011010110",
|
315 |
|
|
b"011100111010000000",
|
316 |
|
|
b"011100111000101010",
|
317 |
|
|
b"011100110111010011",
|
318 |
|
|
b"011100110101111100",
|
319 |
|
|
b"011100110100100101",
|
320 |
|
|
b"011100110011001101",
|
321 |
|
|
b"011100110001110110",
|
322 |
|
|
b"011100110000011110",
|
323 |
|
|
b"011100101111000101",
|
324 |
|
|
b"011100101101101101",
|
325 |
|
|
b"011100101100010100",
|
326 |
|
|
b"011100101010111011",
|
327 |
|
|
b"011100101001100001",
|
328 |
|
|
b"011100101000001000",
|
329 |
|
|
b"011100100110101110",
|
330 |
|
|
b"011100100101010011",
|
331 |
|
|
b"011100100011111001",
|
332 |
|
|
b"011100100010011110",
|
333 |
|
|
b"011100100001000011",
|
334 |
|
|
b"011100011111101000",
|
335 |
|
|
b"011100011110001100",
|
336 |
|
|
b"011100011100110000",
|
337 |
|
|
b"011100011011010100",
|
338 |
|
|
b"011100011001110111",
|
339 |
|
|
b"011100011000011011",
|
340 |
|
|
b"011100010110111110",
|
341 |
|
|
b"011100010101100000",
|
342 |
|
|
b"011100010100000011",
|
343 |
|
|
b"011100010010100101",
|
344 |
|
|
b"011100010001000111",
|
345 |
|
|
b"011100001111101000",
|
346 |
|
|
b"011100001110001010",
|
347 |
|
|
b"011100001100101011",
|
348 |
|
|
b"011100001011001100",
|
349 |
|
|
b"011100001001101100",
|
350 |
|
|
b"011100001000001101",
|
351 |
|
|
b"011100000110101101",
|
352 |
|
|
b"011100000101001100",
|
353 |
|
|
b"011100000011101100",
|
354 |
|
|
b"011100000010001011",
|
355 |
|
|
b"011100000000101010",
|
356 |
|
|
b"011011111111001000",
|
357 |
|
|
b"011011111101100111",
|
358 |
|
|
b"011011111100000101",
|
359 |
|
|
b"011011111010100011",
|
360 |
|
|
b"011011111001000000",
|
361 |
|
|
b"011011110111011110",
|
362 |
|
|
b"011011110101111011",
|
363 |
|
|
b"011011110100010111",
|
364 |
|
|
b"011011110010110100",
|
365 |
|
|
b"011011110001010000",
|
366 |
|
|
b"011011101111101100",
|
367 |
|
|
b"011011101110001000",
|
368 |
|
|
b"011011101100100011",
|
369 |
|
|
b"011011101010111110",
|
370 |
|
|
b"011011101001011001",
|
371 |
|
|
b"011011100111110100",
|
372 |
|
|
b"011011100110001110",
|
373 |
|
|
b"011011100100101000",
|
374 |
|
|
b"011011100011000010",
|
375 |
|
|
b"011011100001011100",
|
376 |
|
|
b"011011011111110101",
|
377 |
|
|
b"011011011110001110",
|
378 |
|
|
b"011011011100100111",
|
379 |
|
|
b"011011011010111111",
|
380 |
|
|
b"011011011001011000",
|
381 |
|
|
b"011011010111110000",
|
382 |
|
|
b"011011010110000111",
|
383 |
|
|
b"011011010100011111",
|
384 |
|
|
b"011011010010110110",
|
385 |
|
|
b"011011010001001101",
|
386 |
|
|
b"011011001111100011",
|
387 |
|
|
b"011011001101111010",
|
388 |
|
|
b"011011001100010000",
|
389 |
|
|
b"011011001010100110",
|
390 |
|
|
b"011011001000111011",
|
391 |
|
|
b"011011000111010001",
|
392 |
|
|
b"011011000101100110",
|
393 |
|
|
b"011011000011111011",
|
394 |
|
|
b"011011000010001111",
|
395 |
|
|
b"011011000000100100",
|
396 |
|
|
b"011010111110111000",
|
397 |
|
|
b"011010111101001011",
|
398 |
|
|
b"011010111011011111",
|
399 |
|
|
b"011010111001110010",
|
400 |
|
|
b"011010111000000101",
|
401 |
|
|
b"011010110110011000",
|
402 |
|
|
b"011010110100101010",
|
403 |
|
|
b"011010110010111101",
|
404 |
|
|
b"011010110001001111",
|
405 |
|
|
b"011010101111100000",
|
406 |
|
|
b"011010101101110010",
|
407 |
|
|
b"011010101100000011",
|
408 |
|
|
b"011010101010010100",
|
409 |
|
|
b"011010101000100101",
|
410 |
|
|
b"011010100110110101",
|
411 |
|
|
b"011010100101000101",
|
412 |
|
|
b"011010100011010101",
|
413 |
|
|
b"011010100001100101",
|
414 |
|
|
b"011010011111110100",
|
415 |
|
|
b"011010011110000011",
|
416 |
|
|
b"011010011100010010",
|
417 |
|
|
b"011010011010100001",
|
418 |
|
|
b"011010011000101111",
|
419 |
|
|
b"011010010110111101",
|
420 |
|
|
b"011010010101001011",
|
421 |
|
|
b"011010010011011001",
|
422 |
|
|
b"011010010001100110",
|
423 |
|
|
b"011010001111110011",
|
424 |
|
|
b"011010001110000000",
|
425 |
|
|
b"011010001100001101",
|
426 |
|
|
b"011010001010011001",
|
427 |
|
|
b"011010001000100101",
|
428 |
|
|
b"011010000110110001",
|
429 |
|
|
b"011010000100111101",
|
430 |
|
|
b"011010000011001000",
|
431 |
|
|
b"011010000001010011",
|
432 |
|
|
b"011001111111011110",
|
433 |
|
|
b"011001111101101001",
|
434 |
|
|
b"011001111011110011",
|
435 |
|
|
b"011001111001111101",
|
436 |
|
|
b"011001111000000111",
|
437 |
|
|
b"011001110110010000",
|
438 |
|
|
b"011001110100011010",
|
439 |
|
|
b"011001110010100011",
|
440 |
|
|
b"011001110000101100",
|
441 |
|
|
b"011001101110110100",
|
442 |
|
|
b"011001101100111101",
|
443 |
|
|
b"011001101011000101",
|
444 |
|
|
b"011001101001001101",
|
445 |
|
|
b"011001100111010100",
|
446 |
|
|
b"011001100101011100",
|
447 |
|
|
b"011001100011100011",
|
448 |
|
|
b"011001100001101010",
|
449 |
|
|
b"011001011111110000",
|
450 |
|
|
b"011001011101110111",
|
451 |
|
|
b"011001011011111101",
|
452 |
|
|
b"011001011010000011",
|
453 |
|
|
b"011001011000001000",
|
454 |
|
|
b"011001010110001110",
|
455 |
|
|
b"011001010100010011",
|
456 |
|
|
b"011001010010011000",
|
457 |
|
|
b"011001010000011100",
|
458 |
|
|
b"011001001110100001",
|
459 |
|
|
b"011001001100100101",
|
460 |
|
|
b"011001001010101001",
|
461 |
|
|
b"011001001000101101",
|
462 |
|
|
b"011001000110110000",
|
463 |
|
|
b"011001000100110011",
|
464 |
|
|
b"011001000010110110",
|
465 |
|
|
b"011001000000111001",
|
466 |
|
|
b"011000111110111100",
|
467 |
|
|
b"011000111100111110",
|
468 |
|
|
b"011000111011000000",
|
469 |
|
|
b"011000111001000010",
|
470 |
|
|
b"011000110111000011",
|
471 |
|
|
b"011000110101000100",
|
472 |
|
|
b"011000110011000101",
|
473 |
|
|
b"011000110001000110",
|
474 |
|
|
b"011000101111000111",
|
475 |
|
|
b"011000101101000111",
|
476 |
|
|
b"011000101011000111",
|
477 |
|
|
b"011000101001000111",
|
478 |
|
|
b"011000100111000111",
|
479 |
|
|
b"011000100101000110",
|
480 |
|
|
b"011000100011000101",
|
481 |
|
|
b"011000100001000100",
|
482 |
|
|
b"011000011111000011",
|
483 |
|
|
b"011000011101000001",
|
484 |
|
|
b"011000011010111111",
|
485 |
|
|
b"011000011000111101",
|
486 |
|
|
b"011000010110111011",
|
487 |
|
|
b"011000010100111001",
|
488 |
|
|
b"011000010010110110",
|
489 |
|
|
b"011000010000110011",
|
490 |
|
|
b"011000001110110000",
|
491 |
|
|
b"011000001100101100",
|
492 |
|
|
b"011000001010101000",
|
493 |
|
|
b"011000001000100101",
|
494 |
|
|
b"011000000110100000",
|
495 |
|
|
b"011000000100011100",
|
496 |
|
|
b"011000000010010111",
|
497 |
|
|
b"011000000000010011",
|
498 |
|
|
b"010111111110001110",
|
499 |
|
|
b"010111111100001000",
|
500 |
|
|
b"010111111010000011",
|
501 |
|
|
b"010111110111111101",
|
502 |
|
|
b"010111110101110111",
|
503 |
|
|
b"010111110011110001",
|
504 |
|
|
b"010111110001101010",
|
505 |
|
|
b"010111101111100100",
|
506 |
|
|
b"010111101101011101",
|
507 |
|
|
b"010111101011010110",
|
508 |
|
|
b"010111101001001110",
|
509 |
|
|
b"010111100111000111",
|
510 |
|
|
b"010111100100111111",
|
511 |
|
|
b"010111100010110111",
|
512 |
|
|
b"010111100000101110",
|
513 |
|
|
b"010111011110100110",
|
514 |
|
|
b"010111011100011101",
|
515 |
|
|
b"010111011010010100",
|
516 |
|
|
b"010111011000001011",
|
517 |
|
|
b"010111010110000010",
|
518 |
|
|
b"010111010011111000",
|
519 |
|
|
b"010111010001101110",
|
520 |
|
|
b"010111001111100100",
|
521 |
|
|
b"010111001101011010",
|
522 |
|
|
b"010111001011001111",
|
523 |
|
|
b"010111001001000101",
|
524 |
|
|
b"010111000110111010",
|
525 |
|
|
b"010111000100101110",
|
526 |
|
|
b"010111000010100011",
|
527 |
|
|
b"010111000000010111",
|
528 |
|
|
b"010110111110001011",
|
529 |
|
|
b"010110111011111111",
|
530 |
|
|
b"010110111001110011",
|
531 |
|
|
b"010110110111100111",
|
532 |
|
|
b"010110110101011010",
|
533 |
|
|
b"010110110011001101",
|
534 |
|
|
b"010110110001000000",
|
535 |
|
|
b"010110101110110010",
|
536 |
|
|
b"010110101100100101",
|
537 |
|
|
b"010110101010010111",
|
538 |
|
|
b"010110101000001001",
|
539 |
|
|
b"010110100101111010",
|
540 |
|
|
b"010110100011101100",
|
541 |
|
|
b"010110100001011101",
|
542 |
|
|
b"010110011111001110",
|
543 |
|
|
b"010110011100111111",
|
544 |
|
|
b"010110011010110000",
|
545 |
|
|
b"010110011000100000",
|
546 |
|
|
b"010110010110010000",
|
547 |
|
|
b"010110010100000000",
|
548 |
|
|
b"010110010001110000",
|
549 |
|
|
b"010110001111100000",
|
550 |
|
|
b"010110001101001111",
|
551 |
|
|
b"010110001010111110",
|
552 |
|
|
b"010110001000101101",
|
553 |
|
|
b"010110000110011100",
|
554 |
|
|
b"010110000100001010",
|
555 |
|
|
b"010110000001111001",
|
556 |
|
|
b"010101111111100111",
|
557 |
|
|
b"010101111101010100",
|
558 |
|
|
b"010101111011000010",
|
559 |
|
|
b"010101111000110000",
|
560 |
|
|
b"010101110110011101",
|
561 |
|
|
b"010101110100001010",
|
562 |
|
|
b"010101110001110111",
|
563 |
|
|
b"010101101111100011",
|
564 |
|
|
b"010101101101010000",
|
565 |
|
|
b"010101101010111100",
|
566 |
|
|
b"010101101000101000",
|
567 |
|
|
b"010101100110010011",
|
568 |
|
|
b"010101100011111111",
|
569 |
|
|
b"010101100001101010",
|
570 |
|
|
b"010101011111010101",
|
571 |
|
|
b"010101011101000000",
|
572 |
|
|
b"010101011010101011",
|
573 |
|
|
b"010101011000010110",
|
574 |
|
|
b"010101010110000000",
|
575 |
|
|
b"010101010011101010",
|
576 |
|
|
b"010101010001010100",
|
577 |
|
|
b"010101001110111110",
|
578 |
|
|
b"010101001100100111",
|
579 |
|
|
b"010101001010010000",
|
580 |
|
|
b"010101000111111001",
|
581 |
|
|
b"010101000101100010",
|
582 |
|
|
b"010101000011001011",
|
583 |
|
|
b"010101000000110011",
|
584 |
|
|
b"010100111110011100",
|
585 |
|
|
b"010100111100000100",
|
586 |
|
|
b"010100111001101100",
|
587 |
|
|
b"010100110111010011",
|
588 |
|
|
b"010100110100111011",
|
589 |
|
|
b"010100110010100010",
|
590 |
|
|
b"010100110000001001",
|
591 |
|
|
b"010100101101110000",
|
592 |
|
|
b"010100101011010110",
|
593 |
|
|
b"010100101000111101",
|
594 |
|
|
b"010100100110100011",
|
595 |
|
|
b"010100100100001001",
|
596 |
|
|
b"010100100001101111",
|
597 |
|
|
b"010100011111010101",
|
598 |
|
|
b"010100011100111010",
|
599 |
|
|
b"010100011010011111",
|
600 |
|
|
b"010100011000000101",
|
601 |
|
|
b"010100010101101001",
|
602 |
|
|
b"010100010011001110",
|
603 |
|
|
b"010100010000110011",
|
604 |
|
|
b"010100001110010111",
|
605 |
|
|
b"010100001011111011",
|
606 |
|
|
b"010100001001011111",
|
607 |
|
|
b"010100000111000011",
|
608 |
|
|
b"010100000100100110",
|
609 |
|
|
b"010100000010001001",
|
610 |
|
|
b"010011111111101100",
|
611 |
|
|
b"010011111101001111",
|
612 |
|
|
b"010011111010110010",
|
613 |
|
|
b"010011111000010101",
|
614 |
|
|
b"010011110101110111",
|
615 |
|
|
b"010011110011011001",
|
616 |
|
|
b"010011110000111011",
|
617 |
|
|
b"010011101110011101",
|
618 |
|
|
b"010011101011111111",
|
619 |
|
|
b"010011101001100000",
|
620 |
|
|
b"010011100111000001",
|
621 |
|
|
b"010011100100100010",
|
622 |
|
|
b"010011100010000011",
|
623 |
|
|
b"010011011111100100",
|
624 |
|
|
b"010011011101000100",
|
625 |
|
|
b"010011011010100100",
|
626 |
|
|
b"010011011000000100",
|
627 |
|
|
b"010011010101100100",
|
628 |
|
|
b"010011010011000100",
|
629 |
|
|
b"010011010000100100",
|
630 |
|
|
b"010011001110000011",
|
631 |
|
|
b"010011001011100010",
|
632 |
|
|
b"010011001001000001",
|
633 |
|
|
b"010011000110100000",
|
634 |
|
|
b"010011000011111110",
|
635 |
|
|
b"010011000001011101",
|
636 |
|
|
b"010010111110111011",
|
637 |
|
|
b"010010111100011001",
|
638 |
|
|
b"010010111001110111",
|
639 |
|
|
b"010010110111010101",
|
640 |
|
|
b"010010110100110010",
|
641 |
|
|
b"010010110010001111",
|
642 |
|
|
b"010010101111101101",
|
643 |
|
|
b"010010101101001010",
|
644 |
|
|
b"010010101010100110",
|
645 |
|
|
b"010010101000000011",
|
646 |
|
|
b"010010100101011111",
|
647 |
|
|
b"010010100010111100",
|
648 |
|
|
b"010010100000011000",
|
649 |
|
|
b"010010011101110100",
|
650 |
|
|
b"010010011011001111",
|
651 |
|
|
b"010010011000101011",
|
652 |
|
|
b"010010010110000110",
|
653 |
|
|
b"010010010011100001",
|
654 |
|
|
b"010010010000111100",
|
655 |
|
|
b"010010001110010111",
|
656 |
|
|
b"010010001011110010",
|
657 |
|
|
b"010010001001001100",
|
658 |
|
|
b"010010000110100111",
|
659 |
|
|
b"010010000100000001",
|
660 |
|
|
b"010010000001011011",
|
661 |
|
|
b"010001111110110100",
|
662 |
|
|
b"010001111100001110",
|
663 |
|
|
b"010001111001100111",
|
664 |
|
|
b"010001110111000001",
|
665 |
|
|
b"010001110100011010",
|
666 |
|
|
b"010001110001110011",
|
667 |
|
|
b"010001101111001011",
|
668 |
|
|
b"010001101100100100",
|
669 |
|
|
b"010001101001111100",
|
670 |
|
|
b"010001100111010101",
|
671 |
|
|
b"010001100100101101",
|
672 |
|
|
b"010001100010000101",
|
673 |
|
|
b"010001011111011100",
|
674 |
|
|
b"010001011100110100",
|
675 |
|
|
b"010001011010001011",
|
676 |
|
|
b"010001010111100010",
|
677 |
|
|
b"010001010100111001",
|
678 |
|
|
b"010001010010010000",
|
679 |
|
|
b"010001001111100111",
|
680 |
|
|
b"010001001100111110",
|
681 |
|
|
b"010001001010010100",
|
682 |
|
|
b"010001000111101010",
|
683 |
|
|
b"010001000101000000",
|
684 |
|
|
b"010001000010010110",
|
685 |
|
|
b"010000111111101100",
|
686 |
|
|
b"010000111101000001",
|
687 |
|
|
b"010000111010010111",
|
688 |
|
|
b"010000110111101100",
|
689 |
|
|
b"010000110101000001",
|
690 |
|
|
b"010000110010010110",
|
691 |
|
|
b"010000101111101011",
|
692 |
|
|
b"010000101100111111",
|
693 |
|
|
b"010000101010010100",
|
694 |
|
|
b"010000100111101000",
|
695 |
|
|
b"010000100100111100",
|
696 |
|
|
b"010000100010010000",
|
697 |
|
|
b"010000011111100100",
|
698 |
|
|
b"010000011100110111",
|
699 |
|
|
b"010000011010001011",
|
700 |
|
|
b"010000010111011110",
|
701 |
|
|
b"010000010100110001",
|
702 |
|
|
b"010000010010000100",
|
703 |
|
|
b"010000001111010111",
|
704 |
|
|
b"010000001100101010",
|
705 |
|
|
b"010000001001111100",
|
706 |
|
|
b"010000000111001111",
|
707 |
|
|
b"010000000100100001",
|
708 |
|
|
b"010000000001110011",
|
709 |
|
|
b"001111111111000101",
|
710 |
|
|
b"001111111100010111",
|
711 |
|
|
b"001111111001101000",
|
712 |
|
|
b"001111110110111010",
|
713 |
|
|
b"001111110100001011",
|
714 |
|
|
b"001111110001011100",
|
715 |
|
|
b"001111101110101101",
|
716 |
|
|
b"001111101011111110",
|
717 |
|
|
b"001111101001001111",
|
718 |
|
|
b"001111100110011111",
|
719 |
|
|
b"001111100011110000",
|
720 |
|
|
b"001111100001000000",
|
721 |
|
|
b"001111011110010000",
|
722 |
|
|
b"001111011011100000",
|
723 |
|
|
b"001111011000110000",
|
724 |
|
|
b"001111010101111111",
|
725 |
|
|
b"001111010011001111",
|
726 |
|
|
b"001111010000011110",
|
727 |
|
|
b"001111001101101101",
|
728 |
|
|
b"001111001010111100",
|
729 |
|
|
b"001111001000001011",
|
730 |
|
|
b"001111000101011010",
|
731 |
|
|
b"001111000010101001",
|
732 |
|
|
b"001110111111110111",
|
733 |
|
|
b"001110111101000101",
|
734 |
|
|
b"001110111010010100",
|
735 |
|
|
b"001110110111100010",
|
736 |
|
|
b"001110110100101111",
|
737 |
|
|
b"001110110001111101",
|
738 |
|
|
b"001110101111001011",
|
739 |
|
|
b"001110101100011000",
|
740 |
|
|
b"001110101001100110",
|
741 |
|
|
b"001110100110110011",
|
742 |
|
|
b"001110100100000000",
|
743 |
|
|
b"001110100001001101",
|
744 |
|
|
b"001110011110011001",
|
745 |
|
|
b"001110011011100110",
|
746 |
|
|
b"001110011000110011",
|
747 |
|
|
b"001110010101111111",
|
748 |
|
|
b"001110010011001011",
|
749 |
|
|
b"001110010000010111",
|
750 |
|
|
b"001110001101100011",
|
751 |
|
|
b"001110001010101111",
|
752 |
|
|
b"001110000111111010",
|
753 |
|
|
b"001110000101000110",
|
754 |
|
|
b"001110000010010001",
|
755 |
|
|
b"001101111111011101",
|
756 |
|
|
b"001101111100101000",
|
757 |
|
|
b"001101111001110011",
|
758 |
|
|
b"001101110110111110",
|
759 |
|
|
b"001101110100001000",
|
760 |
|
|
b"001101110001010011",
|
761 |
|
|
b"001101101110011101",
|
762 |
|
|
b"001101101011101000",
|
763 |
|
|
b"001101101000110010",
|
764 |
|
|
b"001101100101111100",
|
765 |
|
|
b"001101100011000110",
|
766 |
|
|
b"001101100000001111",
|
767 |
|
|
b"001101011101011001",
|
768 |
|
|
b"001101011010100011",
|
769 |
|
|
b"001101010111101100",
|
770 |
|
|
b"001101010100110101",
|
771 |
|
|
b"001101010001111110",
|
772 |
|
|
b"001101001111000111",
|
773 |
|
|
b"001101001100010000",
|
774 |
|
|
b"001101001001011001",
|
775 |
|
|
b"001101000110100010",
|
776 |
|
|
b"001101000011101010",
|
777 |
|
|
b"001101000000110011",
|
778 |
|
|
b"001100111101111011",
|
779 |
|
|
b"001100111011000011",
|
780 |
|
|
b"001100111000001011",
|
781 |
|
|
b"001100110101010011",
|
782 |
|
|
b"001100110010011011",
|
783 |
|
|
b"001100101111100010",
|
784 |
|
|
b"001100101100101010",
|
785 |
|
|
b"001100101001110001",
|
786 |
|
|
b"001100100110111000",
|
787 |
|
|
b"001100100100000000",
|
788 |
|
|
b"001100100001000111",
|
789 |
|
|
b"001100011110001101",
|
790 |
|
|
b"001100011011010100",
|
791 |
|
|
b"001100011000011011",
|
792 |
|
|
b"001100010101100001",
|
793 |
|
|
b"001100010010101000",
|
794 |
|
|
b"001100001111101110",
|
795 |
|
|
b"001100001100110100",
|
796 |
|
|
b"001100001001111010",
|
797 |
|
|
b"001100000111000000",
|
798 |
|
|
b"001100000100000110",
|
799 |
|
|
b"001100000001001100",
|
800 |
|
|
b"001011111110010010",
|
801 |
|
|
b"001011111011010111",
|
802 |
|
|
b"001011111000011100",
|
803 |
|
|
b"001011110101100010",
|
804 |
|
|
b"001011110010100111",
|
805 |
|
|
b"001011101111101100",
|
806 |
|
|
b"001011101100110001",
|
807 |
|
|
b"001011101001110110",
|
808 |
|
|
b"001011100110111010",
|
809 |
|
|
b"001011100011111111",
|
810 |
|
|
b"001011100001000011",
|
811 |
|
|
b"001011011110001000",
|
812 |
|
|
b"001011011011001100",
|
813 |
|
|
b"001011011000010000",
|
814 |
|
|
b"001011010101010100",
|
815 |
|
|
b"001011010010011000",
|
816 |
|
|
b"001011001111011100",
|
817 |
|
|
b"001011001100011111",
|
818 |
|
|
b"001011001001100011",
|
819 |
|
|
b"001011000110100111",
|
820 |
|
|
b"001011000011101010",
|
821 |
|
|
b"001011000000101101",
|
822 |
|
|
b"001010111101110000",
|
823 |
|
|
b"001010111010110011",
|
824 |
|
|
b"001010110111110110",
|
825 |
|
|
b"001010110100111001",
|
826 |
|
|
b"001010110001111100",
|
827 |
|
|
b"001010101110111111",
|
828 |
|
|
b"001010101100000001",
|
829 |
|
|
b"001010101001000100",
|
830 |
|
|
b"001010100110000110",
|
831 |
|
|
b"001010100011001000",
|
832 |
|
|
b"001010100000001010",
|
833 |
|
|
b"001010011101001100",
|
834 |
|
|
b"001010011010001110",
|
835 |
|
|
b"001010010111010000",
|
836 |
|
|
b"001010010100010010",
|
837 |
|
|
b"001010010001010011",
|
838 |
|
|
b"001010001110010101",
|
839 |
|
|
b"001010001011010110",
|
840 |
|
|
b"001010001000011000",
|
841 |
|
|
b"001010000101011001",
|
842 |
|
|
b"001010000010011010",
|
843 |
|
|
b"001001111111011011",
|
844 |
|
|
b"001001111100011100",
|
845 |
|
|
b"001001111001011101",
|
846 |
|
|
b"001001110110011110",
|
847 |
|
|
b"001001110011011110",
|
848 |
|
|
b"001001110000011111",
|
849 |
|
|
b"001001101101011111",
|
850 |
|
|
b"001001101010100000",
|
851 |
|
|
b"001001100111100000",
|
852 |
|
|
b"001001100100100000",
|
853 |
|
|
b"001001100001100000",
|
854 |
|
|
b"001001011110100000",
|
855 |
|
|
b"001001011011100000",
|
856 |
|
|
b"001001011000100000",
|
857 |
|
|
b"001001010101100000",
|
858 |
|
|
b"001001010010011111",
|
859 |
|
|
b"001001001111011111",
|
860 |
|
|
b"001001001100011110",
|
861 |
|
|
b"001001001001011110",
|
862 |
|
|
b"001001000110011101",
|
863 |
|
|
b"001001000011011100",
|
864 |
|
|
b"001001000000011011",
|
865 |
|
|
b"001000111101011010",
|
866 |
|
|
b"001000111010011001",
|
867 |
|
|
b"001000110111011000",
|
868 |
|
|
b"001000110100010111",
|
869 |
|
|
b"001000110001010110",
|
870 |
|
|
b"001000101110010100",
|
871 |
|
|
b"001000101011010011",
|
872 |
|
|
b"001000101000010001",
|
873 |
|
|
b"001000100101010000",
|
874 |
|
|
b"001000100010001110",
|
875 |
|
|
b"001000011111001100",
|
876 |
|
|
b"001000011100001010",
|
877 |
|
|
b"001000011001001000",
|
878 |
|
|
b"001000010110000110",
|
879 |
|
|
b"001000010011000100",
|
880 |
|
|
b"001000010000000010",
|
881 |
|
|
b"001000001100111111",
|
882 |
|
|
b"001000001001111101",
|
883 |
|
|
b"001000000110111011",
|
884 |
|
|
b"001000000011111000",
|
885 |
|
|
b"001000000000110101",
|
886 |
|
|
b"000111111101110011",
|
887 |
|
|
b"000111111010110000",
|
888 |
|
|
b"000111110111101101",
|
889 |
|
|
b"000111110100101010",
|
890 |
|
|
b"000111110001100111",
|
891 |
|
|
b"000111101110100100",
|
892 |
|
|
b"000111101011100001",
|
893 |
|
|
b"000111101000011110",
|
894 |
|
|
b"000111100101011010",
|
895 |
|
|
b"000111100010010111",
|
896 |
|
|
b"000111011111010100",
|
897 |
|
|
b"000111011100010000",
|
898 |
|
|
b"000111011001001101",
|
899 |
|
|
b"000111010110001001",
|
900 |
|
|
b"000111010011000101",
|
901 |
|
|
b"000111010000000001",
|
902 |
|
|
b"000111001100111101",
|
903 |
|
|
b"000111001001111010",
|
904 |
|
|
b"000111000110110110",
|
905 |
|
|
b"000111000011110001",
|
906 |
|
|
b"000111000000101101",
|
907 |
|
|
b"000110111101101001",
|
908 |
|
|
b"000110111010100101",
|
909 |
|
|
b"000110110111100000",
|
910 |
|
|
b"000110110100011100",
|
911 |
|
|
b"000110110001011000",
|
912 |
|
|
b"000110101110010011",
|
913 |
|
|
b"000110101011001110",
|
914 |
|
|
b"000110101000001010",
|
915 |
|
|
b"000110100101000101",
|
916 |
|
|
b"000110100010000000",
|
917 |
|
|
b"000110011110111011",
|
918 |
|
|
b"000110011011110110",
|
919 |
|
|
b"000110011000110010",
|
920 |
|
|
b"000110010101101100",
|
921 |
|
|
b"000110010010100111",
|
922 |
|
|
b"000110001111100010",
|
923 |
|
|
b"000110001100011101",
|
924 |
|
|
b"000110001001011000",
|
925 |
|
|
b"000110000110010010",
|
926 |
|
|
b"000110000011001101",
|
927 |
|
|
b"000110000000000111",
|
928 |
|
|
b"000101111101000010",
|
929 |
|
|
b"000101111001111100",
|
930 |
|
|
b"000101110110110111",
|
931 |
|
|
b"000101110011110001",
|
932 |
|
|
b"000101110000101011",
|
933 |
|
|
b"000101101101100101",
|
934 |
|
|
b"000101101010100000",
|
935 |
|
|
b"000101100111011010",
|
936 |
|
|
b"000101100100010100",
|
937 |
|
|
b"000101100001001110",
|
938 |
|
|
b"000101011110001000",
|
939 |
|
|
b"000101011011000010",
|
940 |
|
|
b"000101010111111011",
|
941 |
|
|
b"000101010100110101",
|
942 |
|
|
b"000101010001101111",
|
943 |
|
|
b"000101001110101000",
|
944 |
|
|
b"000101001011100010",
|
945 |
|
|
b"000101001000011100",
|
946 |
|
|
b"000101000101010101",
|
947 |
|
|
b"000101000010001111",
|
948 |
|
|
b"000100111111001000",
|
949 |
|
|
b"000100111100000001",
|
950 |
|
|
b"000100111000111011",
|
951 |
|
|
b"000100110101110100",
|
952 |
|
|
b"000100110010101101",
|
953 |
|
|
b"000100101111100110",
|
954 |
|
|
b"000100101100100000",
|
955 |
|
|
b"000100101001011001",
|
956 |
|
|
b"000100100110010010",
|
957 |
|
|
b"000100100011001011",
|
958 |
|
|
b"000100100000000100",
|
959 |
|
|
b"000100011100111101",
|
960 |
|
|
b"000100011001110110",
|
961 |
|
|
b"000100010110101110",
|
962 |
|
|
b"000100010011100111",
|
963 |
|
|
b"000100010000100000",
|
964 |
|
|
b"000100001101011001",
|
965 |
|
|
b"000100001010010001",
|
966 |
|
|
b"000100000111001010",
|
967 |
|
|
b"000100000100000010",
|
968 |
|
|
b"000100000000111011",
|
969 |
|
|
b"000011111101110100",
|
970 |
|
|
b"000011111010101100",
|
971 |
|
|
b"000011110111100100",
|
972 |
|
|
b"000011110100011101",
|
973 |
|
|
b"000011110001010101",
|
974 |
|
|
b"000011101110001101",
|
975 |
|
|
b"000011101011000110",
|
976 |
|
|
b"000011100111111110",
|
977 |
|
|
b"000011100100110110",
|
978 |
|
|
b"000011100001101110",
|
979 |
|
|
b"000011011110100111",
|
980 |
|
|
b"000011011011011111",
|
981 |
|
|
b"000011011000010111",
|
982 |
|
|
b"000011010101001111",
|
983 |
|
|
b"000011010010000111",
|
984 |
|
|
b"000011001110111111",
|
985 |
|
|
b"000011001011110111",
|
986 |
|
|
b"000011001000101111",
|
987 |
|
|
b"000011000101100111",
|
988 |
|
|
b"000011000010011110",
|
989 |
|
|
b"000010111111010110",
|
990 |
|
|
b"000010111100001110",
|
991 |
|
|
b"000010111001000110",
|
992 |
|
|
b"000010110101111110",
|
993 |
|
|
b"000010110010110101",
|
994 |
|
|
b"000010101111101101",
|
995 |
|
|
b"000010101100100101",
|
996 |
|
|
b"000010101001011100",
|
997 |
|
|
b"000010100110010100",
|
998 |
|
|
b"000010100011001100",
|
999 |
|
|
b"000010100000000011",
|
1000 |
|
|
b"000010011100111011",
|
1001 |
|
|
b"000010011001110010",
|
1002 |
|
|
b"000010010110101010",
|
1003 |
|
|
b"000010010011100001",
|
1004 |
|
|
b"000010010000011001",
|
1005 |
|
|
b"000010001101010000",
|
1006 |
|
|
b"000010001010000111",
|
1007 |
|
|
b"000010000110111111",
|
1008 |
|
|
b"000010000011110110",
|
1009 |
|
|
b"000010000000101110",
|
1010 |
|
|
b"000001111101100101",
|
1011 |
|
|
b"000001111010011100",
|
1012 |
|
|
b"000001110111010011",
|
1013 |
|
|
b"000001110100001011",
|
1014 |
|
|
b"000001110001000010",
|
1015 |
|
|
b"000001101101111001",
|
1016 |
|
|
b"000001101010110000",
|
1017 |
|
|
b"000001100111101000",
|
1018 |
|
|
b"000001100100011111",
|
1019 |
|
|
b"000001100001010110",
|
1020 |
|
|
b"000001011110001101",
|
1021 |
|
|
b"000001011011000100",
|
1022 |
|
|
b"000001010111111011",
|
1023 |
|
|
b"000001010100110011",
|
1024 |
|
|
b"000001010001101010",
|
1025 |
|
|
b"000001001110100001",
|
1026 |
|
|
b"000001001011011000",
|
1027 |
|
|
b"000001001000001111",
|
1028 |
|
|
b"000001000101000110",
|
1029 |
|
|
b"000001000001111101",
|
1030 |
|
|
b"000000111110110100",
|
1031 |
|
|
b"000000111011101011",
|
1032 |
|
|
b"000000111000100010",
|
1033 |
|
|
b"000000110101011001",
|
1034 |
|
|
b"000000110010010000",
|
1035 |
|
|
b"000000101111000111",
|
1036 |
|
|
b"000000101011111110",
|
1037 |
|
|
b"000000101000110101",
|
1038 |
|
|
b"000000100101101100",
|
1039 |
|
|
b"000000100010100011",
|
1040 |
|
|
b"000000011111011010",
|
1041 |
|
|
b"000000011100010001",
|
1042 |
|
|
b"000000011001001000",
|
1043 |
|
|
b"000000010101111111",
|
1044 |
|
|
b"000000010010110110",
|
1045 |
|
|
b"000000001111101101",
|
1046 |
|
|
b"000000001100100100",
|
1047 |
|
|
b"000000001001011011",
|
1048 |
|
|
b"000000000110010010",
|
1049 |
|
|
b"000000000011001001",
|
1050 |
|
|
b"111111111111111111",
|
1051 |
|
|
b"111111111100110110",
|
1052 |
|
|
b"111111111001101101",
|
1053 |
|
|
b"111111110110100100",
|
1054 |
|
|
b"111111110011011011",
|
1055 |
|
|
b"111111110000010010",
|
1056 |
|
|
b"111111101101001001",
|
1057 |
|
|
b"111111101010000000",
|
1058 |
|
|
b"111111100110110111",
|
1059 |
|
|
b"111111100011101110",
|
1060 |
|
|
b"111111100000100100",
|
1061 |
|
|
b"111111011101011011",
|
1062 |
|
|
b"111111011010010010",
|
1063 |
|
|
b"111111010111001001",
|
1064 |
|
|
b"111111010100000000",
|
1065 |
|
|
b"111111010000110111",
|
1066 |
|
|
b"111111001101101110",
|
1067 |
|
|
b"111111001010100101",
|
1068 |
|
|
b"111111000111011100",
|
1069 |
|
|
b"111111000100010011",
|
1070 |
|
|
b"111111000001001010",
|
1071 |
|
|
b"111110111110000001",
|
1072 |
|
|
b"111110111010111001",
|
1073 |
|
|
b"111110110111110000",
|
1074 |
|
|
b"111110110100100111",
|
1075 |
|
|
b"111110110001011110",
|
1076 |
|
|
b"111110101110010101",
|
1077 |
|
|
b"111110101011001100",
|
1078 |
|
|
b"111110101000000011",
|
1079 |
|
|
b"111110100100111010",
|
1080 |
|
|
b"111110100001110001",
|
1081 |
|
|
b"111110011110101000",
|
1082 |
|
|
b"111110011011100000",
|
1083 |
|
|
b"111110011000010111",
|
1084 |
|
|
b"111110010101001110",
|
1085 |
|
|
b"111110010010000101",
|
1086 |
|
|
b"111110001110111101",
|
1087 |
|
|
b"111110001011110100",
|
1088 |
|
|
b"111110001000101011",
|
1089 |
|
|
b"111110000101100010",
|
1090 |
|
|
b"111110000010011010",
|
1091 |
|
|
b"111101111111010001",
|
1092 |
|
|
b"111101111100001000",
|
1093 |
|
|
b"111101111001000000",
|
1094 |
|
|
b"111101110101110111",
|
1095 |
|
|
b"111101110010101110",
|
1096 |
|
|
b"111101101111100110",
|
1097 |
|
|
b"111101101100011101",
|
1098 |
|
|
b"111101101001010101",
|
1099 |
|
|
b"111101100110001100",
|
1100 |
|
|
b"111101100011000100",
|
1101 |
|
|
b"111101011111111011",
|
1102 |
|
|
b"111101011100110011",
|
1103 |
|
|
b"111101011001101011",
|
1104 |
|
|
b"111101010110100010",
|
1105 |
|
|
b"111101010011011010",
|
1106 |
|
|
b"111101010000010001",
|
1107 |
|
|
b"111101001101001001",
|
1108 |
|
|
b"111101001010000001",
|
1109 |
|
|
b"111101000110111001",
|
1110 |
|
|
b"111101000011110000",
|
1111 |
|
|
b"111101000000101000",
|
1112 |
|
|
b"111100111101100000",
|
1113 |
|
|
b"111100111010011000",
|
1114 |
|
|
b"111100110111010000",
|
1115 |
|
|
b"111100110100001000",
|
1116 |
|
|
b"111100110001000000",
|
1117 |
|
|
b"111100101101111000",
|
1118 |
|
|
b"111100101010110000",
|
1119 |
|
|
b"111100100111101000",
|
1120 |
|
|
b"111100100100100000",
|
1121 |
|
|
b"111100100001011000",
|
1122 |
|
|
b"111100011110010000",
|
1123 |
|
|
b"111100011011001000",
|
1124 |
|
|
b"111100011000000000",
|
1125 |
|
|
b"111100010100111001",
|
1126 |
|
|
b"111100010001110001",
|
1127 |
|
|
b"111100001110101001",
|
1128 |
|
|
b"111100001011100010",
|
1129 |
|
|
b"111100001000011010",
|
1130 |
|
|
b"111100000101010011",
|
1131 |
|
|
b"111100000010001011",
|
1132 |
|
|
b"111011111111000011",
|
1133 |
|
|
b"111011111011111100",
|
1134 |
|
|
b"111011111000110101",
|
1135 |
|
|
b"111011110101101101",
|
1136 |
|
|
b"111011110010100110",
|
1137 |
|
|
b"111011101111011111",
|
1138 |
|
|
b"111011101100010111",
|
1139 |
|
|
b"111011101001010000",
|
1140 |
|
|
b"111011100110001001",
|
1141 |
|
|
b"111011100011000010",
|
1142 |
|
|
b"111011011111111011",
|
1143 |
|
|
b"111011011100110100",
|
1144 |
|
|
b"111011011001101101",
|
1145 |
|
|
b"111011010110100110",
|
1146 |
|
|
b"111011010011011111",
|
1147 |
|
|
b"111011010000011000",
|
1148 |
|
|
b"111011001101010001",
|
1149 |
|
|
b"111011001010001010",
|
1150 |
|
|
b"111011000111000100",
|
1151 |
|
|
b"111011000011111101",
|
1152 |
|
|
b"111011000000110110",
|
1153 |
|
|
b"111010111101110000",
|
1154 |
|
|
b"111010111010101001",
|
1155 |
|
|
b"111010110111100011",
|
1156 |
|
|
b"111010110100011100",
|
1157 |
|
|
b"111010110001010110",
|
1158 |
|
|
b"111010101110010000",
|
1159 |
|
|
b"111010101011001001",
|
1160 |
|
|
b"111010101000000011",
|
1161 |
|
|
b"111010100100111101",
|
1162 |
|
|
b"111010100001110111",
|
1163 |
|
|
b"111010011110110001",
|
1164 |
|
|
b"111010011011101011",
|
1165 |
|
|
b"111010011000100101",
|
1166 |
|
|
b"111010010101011111",
|
1167 |
|
|
b"111010010010011001",
|
1168 |
|
|
b"111010001111010011",
|
1169 |
|
|
b"111010001100001101",
|
1170 |
|
|
b"111010001001001000",
|
1171 |
|
|
b"111010000110000010",
|
1172 |
|
|
b"111010000010111101",
|
1173 |
|
|
b"111001111111110111",
|
1174 |
|
|
b"111001111100110010",
|
1175 |
|
|
b"111001111001101100",
|
1176 |
|
|
b"111001110110100111",
|
1177 |
|
|
b"111001110011100010",
|
1178 |
|
|
b"111001110000011100",
|
1179 |
|
|
b"111001101101010111",
|
1180 |
|
|
b"111001101010010010",
|
1181 |
|
|
b"111001100111001101",
|
1182 |
|
|
b"111001100100001000",
|
1183 |
|
|
b"111001100001000011",
|
1184 |
|
|
b"111001011101111110",
|
1185 |
|
|
b"111001011010111001",
|
1186 |
|
|
b"111001010111110101",
|
1187 |
|
|
b"111001010100110000",
|
1188 |
|
|
b"111001010001101011",
|
1189 |
|
|
b"111001001110100111",
|
1190 |
|
|
b"111001001011100010",
|
1191 |
|
|
b"111001001000011110",
|
1192 |
|
|
b"111001000101011010",
|
1193 |
|
|
b"111001000010010101",
|
1194 |
|
|
b"111000111111010001",
|
1195 |
|
|
b"111000111100001101",
|
1196 |
|
|
b"111000111001001001",
|
1197 |
|
|
b"111000110110000101",
|
1198 |
|
|
b"111000110011000001",
|
1199 |
|
|
b"111000101111111101",
|
1200 |
|
|
b"111000101100111001",
|
1201 |
|
|
b"111000101001110110",
|
1202 |
|
|
b"111000100110110010",
|
1203 |
|
|
b"111000100011101110",
|
1204 |
|
|
b"111000100000101011",
|
1205 |
|
|
b"111000011101100111",
|
1206 |
|
|
b"111000011010100100",
|
1207 |
|
|
b"111000010111100001",
|
1208 |
|
|
b"111000010100011110",
|
1209 |
|
|
b"111000010001011010",
|
1210 |
|
|
b"111000001110010111",
|
1211 |
|
|
b"111000001011010100",
|
1212 |
|
|
b"111000001000010001",
|
1213 |
|
|
b"111000000101001111",
|
1214 |
|
|
b"111000000010001100",
|
1215 |
|
|
b"110111111111001001",
|
1216 |
|
|
b"110111111100000111",
|
1217 |
|
|
b"110111111001000100",
|
1218 |
|
|
b"110111110110000010",
|
1219 |
|
|
b"110111110010111111",
|
1220 |
|
|
b"110111101111111101",
|
1221 |
|
|
b"110111101100111011",
|
1222 |
|
|
b"110111101001111000",
|
1223 |
|
|
b"110111100110110110",
|
1224 |
|
|
b"110111100011110100",
|
1225 |
|
|
b"110111100000110011",
|
1226 |
|
|
b"110111011101110001",
|
1227 |
|
|
b"110111011010101111",
|
1228 |
|
|
b"110111010111101101",
|
1229 |
|
|
b"110111010100101100",
|
1230 |
|
|
b"110111010001101010",
|
1231 |
|
|
b"110111001110101001",
|
1232 |
|
|
b"110111001011101000",
|
1233 |
|
|
b"110111001000100110",
|
1234 |
|
|
b"110111000101100101",
|
1235 |
|
|
b"110111000010100100",
|
1236 |
|
|
b"110110111111100011",
|
1237 |
|
|
b"110110111100100010",
|
1238 |
|
|
b"110110111001100001",
|
1239 |
|
|
b"110110110110100001",
|
1240 |
|
|
b"110110110011100000",
|
1241 |
|
|
b"110110110000100000",
|
1242 |
|
|
b"110110101101011111",
|
1243 |
|
|
b"110110101010011111",
|
1244 |
|
|
b"110110100111011110",
|
1245 |
|
|
b"110110100100011110",
|
1246 |
|
|
b"110110100001011110",
|
1247 |
|
|
b"110110011110011110",
|
1248 |
|
|
b"110110011011011110",
|
1249 |
|
|
b"110110011000011110",
|
1250 |
|
|
b"110110010101011111",
|
1251 |
|
|
b"110110010010011111",
|
1252 |
|
|
b"110110001111100000",
|
1253 |
|
|
b"110110001100100000",
|
1254 |
|
|
b"110110001001100001",
|
1255 |
|
|
b"110110000110100010",
|
1256 |
|
|
b"110110000011100010",
|
1257 |
|
|
b"110110000000100011",
|
1258 |
|
|
b"110101111101100100",
|
1259 |
|
|
b"110101111010100110",
|
1260 |
|
|
b"110101110111100111",
|
1261 |
|
|
b"110101110100101000",
|
1262 |
|
|
b"110101110001101010",
|
1263 |
|
|
b"110101101110101011",
|
1264 |
|
|
b"110101101011101101",
|
1265 |
|
|
b"110101101000101110",
|
1266 |
|
|
b"110101100101110000",
|
1267 |
|
|
b"110101100010110010",
|
1268 |
|
|
b"110101011111110100",
|
1269 |
|
|
b"110101011100110110",
|
1270 |
|
|
b"110101011001111001",
|
1271 |
|
|
b"110101010110111011",
|
1272 |
|
|
b"110101010011111101",
|
1273 |
|
|
b"110101010001000000",
|
1274 |
|
|
b"110101001110000011",
|
1275 |
|
|
b"110101001011000101",
|
1276 |
|
|
b"110101001000001000",
|
1277 |
|
|
b"110101000101001011",
|
1278 |
|
|
b"110101000010001110",
|
1279 |
|
|
b"110100111111010001",
|
1280 |
|
|
b"110100111100010101",
|
1281 |
|
|
b"110100111001011000",
|
1282 |
|
|
b"110100110110011011",
|
1283 |
|
|
b"110100110011011111",
|
1284 |
|
|
b"110100110000100011",
|
1285 |
|
|
b"110100101101100111",
|
1286 |
|
|
b"110100101010101010",
|
1287 |
|
|
b"110100100111101110",
|
1288 |
|
|
b"110100100100110011",
|
1289 |
|
|
b"110100100001110111",
|
1290 |
|
|
b"110100011110111011",
|
1291 |
|
|
b"110100011100000000",
|
1292 |
|
|
b"110100011001000100",
|
1293 |
|
|
b"110100010110001001",
|
1294 |
|
|
b"110100010011001110",
|
1295 |
|
|
b"110100010000010011",
|
1296 |
|
|
b"110100001101011000",
|
1297 |
|
|
b"110100001010011101",
|
1298 |
|
|
b"110100000111100010",
|
1299 |
|
|
b"110100000100100111",
|
1300 |
|
|
b"110100000001101101",
|
1301 |
|
|
b"110011111110110011",
|
1302 |
|
|
b"110011111011111000",
|
1303 |
|
|
b"110011111000111110",
|
1304 |
|
|
b"110011110110000100",
|
1305 |
|
|
b"110011110011001010",
|
1306 |
|
|
b"110011110000010000",
|
1307 |
|
|
b"110011101101010111",
|
1308 |
|
|
b"110011101010011101",
|
1309 |
|
|
b"110011100111100100",
|
1310 |
|
|
b"110011100100101010",
|
1311 |
|
|
b"110011100001110001",
|
1312 |
|
|
b"110011011110111000",
|
1313 |
|
|
b"110011011011111111",
|
1314 |
|
|
b"110011011001000110",
|
1315 |
|
|
b"110011010110001101",
|
1316 |
|
|
b"110011010011010101",
|
1317 |
|
|
b"110011010000011100",
|
1318 |
|
|
b"110011001101100100",
|
1319 |
|
|
b"110011001010101100",
|
1320 |
|
|
b"110011000111110011",
|
1321 |
|
|
b"110011000100111011",
|
1322 |
|
|
b"110011000010000100",
|
1323 |
|
|
b"110010111111001100",
|
1324 |
|
|
b"110010111100010100",
|
1325 |
|
|
b"110010111001011101",
|
1326 |
|
|
b"110010110110100101",
|
1327 |
|
|
b"110010110011101110",
|
1328 |
|
|
b"110010110000110111",
|
1329 |
|
|
b"110010101110000000",
|
1330 |
|
|
b"110010101011001001",
|
1331 |
|
|
b"110010101000010010",
|
1332 |
|
|
b"110010100101011100",
|
1333 |
|
|
b"110010100010100101",
|
1334 |
|
|
b"110010011111101111",
|
1335 |
|
|
b"110010011100111001",
|
1336 |
|
|
b"110010011010000011",
|
1337 |
|
|
b"110010010111001101",
|
1338 |
|
|
b"110010010100010111",
|
1339 |
|
|
b"110010010001100001",
|
1340 |
|
|
b"110010001110101100",
|
1341 |
|
|
b"110010001011110110",
|
1342 |
|
|
b"110010001001000001",
|
1343 |
|
|
b"110010000110001100",
|
1344 |
|
|
b"110010000011010111",
|
1345 |
|
|
b"110010000000100010",
|
1346 |
|
|
b"110001111101101101",
|
1347 |
|
|
b"110001111010111001",
|
1348 |
|
|
b"110001111000000100",
|
1349 |
|
|
b"110001110101010000",
|
1350 |
|
|
b"110001110010011011",
|
1351 |
|
|
b"110001101111100111",
|
1352 |
|
|
b"110001101100110011",
|
1353 |
|
|
b"110001101010000000",
|
1354 |
|
|
b"110001100111001100",
|
1355 |
|
|
b"110001100100011000",
|
1356 |
|
|
b"110001100001100101",
|
1357 |
|
|
b"110001011110110010",
|
1358 |
|
|
b"110001011011111111",
|
1359 |
|
|
b"110001011001001100",
|
1360 |
|
|
b"110001010110011001",
|
1361 |
|
|
b"110001010011100110",
|
1362 |
|
|
b"110001010000110100",
|
1363 |
|
|
b"110001001110000001",
|
1364 |
|
|
b"110001001011001111",
|
1365 |
|
|
b"110001001000011101",
|
1366 |
|
|
b"110001000101101011",
|
1367 |
|
|
b"110001000010111001",
|
1368 |
|
|
b"110001000000000111",
|
1369 |
|
|
b"110000111101010110",
|
1370 |
|
|
b"110000111010100101",
|
1371 |
|
|
b"110000110111110011",
|
1372 |
|
|
b"110000110101000010",
|
1373 |
|
|
b"110000110010010001",
|
1374 |
|
|
b"110000101111100000",
|
1375 |
|
|
b"110000101100110000",
|
1376 |
|
|
b"110000101001111111",
|
1377 |
|
|
b"110000100111001111",
|
1378 |
|
|
b"110000100100011111",
|
1379 |
|
|
b"110000100001101111",
|
1380 |
|
|
b"110000011110111111",
|
1381 |
|
|
b"110000011100001111",
|
1382 |
|
|
b"110000011001011111",
|
1383 |
|
|
b"110000010110110000",
|
1384 |
|
|
b"110000010100000001",
|
1385 |
|
|
b"110000010001010001",
|
1386 |
|
|
b"110000001110100010",
|
1387 |
|
|
b"110000001011110011",
|
1388 |
|
|
b"110000001001000101",
|
1389 |
|
|
b"110000000110010110",
|
1390 |
|
|
b"110000000011101000",
|
1391 |
|
|
b"110000000000111010",
|
1392 |
|
|
b"101111111110001011",
|
1393 |
|
|
b"101111111011011110",
|
1394 |
|
|
b"101111111000110000",
|
1395 |
|
|
b"101111110110000010",
|
1396 |
|
|
b"101111110011010101",
|
1397 |
|
|
b"101111110000100111",
|
1398 |
|
|
b"101111101101111010",
|
1399 |
|
|
b"101111101011001101",
|
1400 |
|
|
b"101111101000100000",
|
1401 |
|
|
b"101111100101110100",
|
1402 |
|
|
b"101111100011000111",
|
1403 |
|
|
b"101111100000011011",
|
1404 |
|
|
b"101111011101101110",
|
1405 |
|
|
b"101111011011000010",
|
1406 |
|
|
b"101111011000010110",
|
1407 |
|
|
b"101111010101101011",
|
1408 |
|
|
b"101111010010111111",
|
1409 |
|
|
b"101111010000010100",
|
1410 |
|
|
b"101111001101101001",
|
1411 |
|
|
b"101111001010111101",
|
1412 |
|
|
b"101111001000010010",
|
1413 |
|
|
b"101111000101101000",
|
1414 |
|
|
b"101111000010111101",
|
1415 |
|
|
b"101111000000010011",
|
1416 |
|
|
b"101110111101101000",
|
1417 |
|
|
b"101110111010111110",
|
1418 |
|
|
b"101110111000010100",
|
1419 |
|
|
b"101110110101101011",
|
1420 |
|
|
b"101110110011000001",
|
1421 |
|
|
b"101110110000010111",
|
1422 |
|
|
b"101110101101101110",
|
1423 |
|
|
b"101110101011000101",
|
1424 |
|
|
b"101110101000011100",
|
1425 |
|
|
b"101110100101110011",
|
1426 |
|
|
b"101110100011001011",
|
1427 |
|
|
b"101110100000100010",
|
1428 |
|
|
b"101110011101111010",
|
1429 |
|
|
b"101110011011010010",
|
1430 |
|
|
b"101110011000101010",
|
1431 |
|
|
b"101110010110000010",
|
1432 |
|
|
b"101110010011011011",
|
1433 |
|
|
b"101110010000110011",
|
1434 |
|
|
b"101110001110001100",
|
1435 |
|
|
b"101110001011100101",
|
1436 |
|
|
b"101110001000111110",
|
1437 |
|
|
b"101110000110010111",
|
1438 |
|
|
b"101110000011110001",
|
1439 |
|
|
b"101110000001001010",
|
1440 |
|
|
b"101101111110100100",
|
1441 |
|
|
b"101101111011111110",
|
1442 |
|
|
b"101101111001011000",
|
1443 |
|
|
b"101101110110110010",
|
1444 |
|
|
b"101101110100001101",
|
1445 |
|
|
b"101101110001100111",
|
1446 |
|
|
b"101101101111000010",
|
1447 |
|
|
b"101101101100011101",
|
1448 |
|
|
b"101101101001111000",
|
1449 |
|
|
b"101101100111010100",
|
1450 |
|
|
b"101101100100101111",
|
1451 |
|
|
b"101101100010001011",
|
1452 |
|
|
b"101101011111100111",
|
1453 |
|
|
b"101101011101000011",
|
1454 |
|
|
b"101101011010011111",
|
1455 |
|
|
b"101101010111111100",
|
1456 |
|
|
b"101101010101011000",
|
1457 |
|
|
b"101101010010110101",
|
1458 |
|
|
b"101101010000010010",
|
1459 |
|
|
b"101101001101101111",
|
1460 |
|
|
b"101101001011001100",
|
1461 |
|
|
b"101101001000101010",
|
1462 |
|
|
b"101101000110001000",
|
1463 |
|
|
b"101101000011100101",
|
1464 |
|
|
b"101101000001000011",
|
1465 |
|
|
b"101100111110100010",
|
1466 |
|
|
b"101100111100000000",
|
1467 |
|
|
b"101100111001011111",
|
1468 |
|
|
b"101100110110111101",
|
1469 |
|
|
b"101100110100011100",
|
1470 |
|
|
b"101100110001111100",
|
1471 |
|
|
b"101100101111011011",
|
1472 |
|
|
b"101100101100111010",
|
1473 |
|
|
b"101100101010011010",
|
1474 |
|
|
b"101100100111111010",
|
1475 |
|
|
b"101100100101011010",
|
1476 |
|
|
b"101100100010111010",
|
1477 |
|
|
b"101100100000011011",
|
1478 |
|
|
b"101100011101111100",
|
1479 |
|
|
b"101100011011011100",
|
1480 |
|
|
b"101100011000111101",
|
1481 |
|
|
b"101100010110011111",
|
1482 |
|
|
b"101100010100000000",
|
1483 |
|
|
b"101100010001100010",
|
1484 |
|
|
b"101100001111000011",
|
1485 |
|
|
b"101100001100100101",
|
1486 |
|
|
b"101100001010000111",
|
1487 |
|
|
b"101100000111101010",
|
1488 |
|
|
b"101100000101001100",
|
1489 |
|
|
b"101100000010101111",
|
1490 |
|
|
b"101100000000010010",
|
1491 |
|
|
b"101011111101110101",
|
1492 |
|
|
b"101011111011011000",
|
1493 |
|
|
b"101011111000111100",
|
1494 |
|
|
b"101011110110100000",
|
1495 |
|
|
b"101011110100000100",
|
1496 |
|
|
b"101011110001101000",
|
1497 |
|
|
b"101011101111001100",
|
1498 |
|
|
b"101011101100110000",
|
1499 |
|
|
b"101011101010010101",
|
1500 |
|
|
b"101011100111111010",
|
1501 |
|
|
b"101011100101011111",
|
1502 |
|
|
b"101011100011000100",
|
1503 |
|
|
b"101011100000101010",
|
1504 |
|
|
b"101011011110001111",
|
1505 |
|
|
b"101011011011110101",
|
1506 |
|
|
b"101011011001011011",
|
1507 |
|
|
b"101011010111000010",
|
1508 |
|
|
b"101011010100101000",
|
1509 |
|
|
b"101011010010001111",
|
1510 |
|
|
b"101011001111110110",
|
1511 |
|
|
b"101011001101011101",
|
1512 |
|
|
b"101011001011000100",
|
1513 |
|
|
b"101011001000101011",
|
1514 |
|
|
b"101011000110010011",
|
1515 |
|
|
b"101011000011111011",
|
1516 |
|
|
b"101011000001100011",
|
1517 |
|
|
b"101010111111001011",
|
1518 |
|
|
b"101010111100110100",
|
1519 |
|
|
b"101010111010011100",
|
1520 |
|
|
b"101010111000000101",
|
1521 |
|
|
b"101010110101101110",
|
1522 |
|
|
b"101010110011011000",
|
1523 |
|
|
b"101010110001000001",
|
1524 |
|
|
b"101010101110101011",
|
1525 |
|
|
b"101010101100010101",
|
1526 |
|
|
b"101010101001111111",
|
1527 |
|
|
b"101010100111101001",
|
1528 |
|
|
b"101010100101010011",
|
1529 |
|
|
b"101010100010111110",
|
1530 |
|
|
b"101010100000101001",
|
1531 |
|
|
b"101010011110010100",
|
1532 |
|
|
b"101010011100000000",
|
1533 |
|
|
b"101010011001101011",
|
1534 |
|
|
b"101010010111010111",
|
1535 |
|
|
b"101010010101000011",
|
1536 |
|
|
b"101010010010101111",
|
1537 |
|
|
b"101010010000011011",
|
1538 |
|
|
b"101010001110001000",
|
1539 |
|
|
b"101010001011110101",
|
1540 |
|
|
b"101010001001100010",
|
1541 |
|
|
b"101010000111001111",
|
1542 |
|
|
b"101010000100111100",
|
1543 |
|
|
b"101010000010101010",
|
1544 |
|
|
b"101010000000011000",
|
1545 |
|
|
b"101001111110000110",
|
1546 |
|
|
b"101001111011110100",
|
1547 |
|
|
b"101001111001100011",
|
1548 |
|
|
b"101001110111010001",
|
1549 |
|
|
b"101001110101000000",
|
1550 |
|
|
b"101001110010101111",
|
1551 |
|
|
b"101001110000011111",
|
1552 |
|
|
b"101001101110001110",
|
1553 |
|
|
b"101001101011111110",
|
1554 |
|
|
b"101001101001101110",
|
1555 |
|
|
b"101001100111011110",
|
1556 |
|
|
b"101001100101001111",
|
1557 |
|
|
b"101001100010111111",
|
1558 |
|
|
b"101001100000110000",
|
1559 |
|
|
b"101001011110100001",
|
1560 |
|
|
b"101001011100010011",
|
1561 |
|
|
b"101001011010000100",
|
1562 |
|
|
b"101001010111110110",
|
1563 |
|
|
b"101001010101101000",
|
1564 |
|
|
b"101001010011011010",
|
1565 |
|
|
b"101001010001001100",
|
1566 |
|
|
b"101001001110111111",
|
1567 |
|
|
b"101001001100110010",
|
1568 |
|
|
b"101001001010100101",
|
1569 |
|
|
b"101001001000011000",
|
1570 |
|
|
b"101001000110001011",
|
1571 |
|
|
b"101001000011111111",
|
1572 |
|
|
b"101001000001110011",
|
1573 |
|
|
b"101000111111100111",
|
1574 |
|
|
b"101000111101011100",
|
1575 |
|
|
b"101000111011010000",
|
1576 |
|
|
b"101000111001000101",
|
1577 |
|
|
b"101000110110111010",
|
1578 |
|
|
b"101000110100101111",
|
1579 |
|
|
b"101000110010100101",
|
1580 |
|
|
b"101000110000011010",
|
1581 |
|
|
b"101000101110010000",
|
1582 |
|
|
b"101000101100000110",
|
1583 |
|
|
b"101000101001111101",
|
1584 |
|
|
b"101000100111110011",
|
1585 |
|
|
b"101000100101101010",
|
1586 |
|
|
b"101000100011100001",
|
1587 |
|
|
b"101000100001011001",
|
1588 |
|
|
b"101000011111010000",
|
1589 |
|
|
b"101000011101001000",
|
1590 |
|
|
b"101000011011000000",
|
1591 |
|
|
b"101000011000111000",
|
1592 |
|
|
b"101000010110110000",
|
1593 |
|
|
b"101000010100101001",
|
1594 |
|
|
b"101000010010100010",
|
1595 |
|
|
b"101000010000011011",
|
1596 |
|
|
b"101000001110010100",
|
1597 |
|
|
b"101000001100001110",
|
1598 |
|
|
b"101000001010001000",
|
1599 |
|
|
b"101000001000000010",
|
1600 |
|
|
b"101000000101111100",
|
1601 |
|
|
b"101000000011110110",
|
1602 |
|
|
b"101000000001110001",
|
1603 |
|
|
b"100111111111101100",
|
1604 |
|
|
b"100111111101100111",
|
1605 |
|
|
b"100111111011100010",
|
1606 |
|
|
b"100111111001011110",
|
1607 |
|
|
b"100111110111011010",
|
1608 |
|
|
b"100111110101010110",
|
1609 |
|
|
b"100111110011010010",
|
1610 |
|
|
b"100111110001001111",
|
1611 |
|
|
b"100111101111001100",
|
1612 |
|
|
b"100111101101001001",
|
1613 |
|
|
b"100111101011000110",
|
1614 |
|
|
b"100111101001000011",
|
1615 |
|
|
b"100111100111000001",
|
1616 |
|
|
b"100111100100111111",
|
1617 |
|
|
b"100111100010111101",
|
1618 |
|
|
b"100111100000111100",
|
1619 |
|
|
b"100111011110111010",
|
1620 |
|
|
b"100111011100111001",
|
1621 |
|
|
b"100111011010111000",
|
1622 |
|
|
b"100111011000111000",
|
1623 |
|
|
b"100111010110110111",
|
1624 |
|
|
b"100111010100110111",
|
1625 |
|
|
b"100111010010110111",
|
1626 |
|
|
b"100111010000111000",
|
1627 |
|
|
b"100111001110111000",
|
1628 |
|
|
b"100111001100111001",
|
1629 |
|
|
b"100111001010111010",
|
1630 |
|
|
b"100111001000111011",
|
1631 |
|
|
b"100111000110111101",
|
1632 |
|
|
b"100111000100111111",
|
1633 |
|
|
b"100111000011000001",
|
1634 |
|
|
b"100111000001000011",
|
1635 |
|
|
b"100110111111000101",
|
1636 |
|
|
b"100110111101001000",
|
1637 |
|
|
b"100110111011001011",
|
1638 |
|
|
b"100110111001001110",
|
1639 |
|
|
b"100110110111010010",
|
1640 |
|
|
b"100110110101010110",
|
1641 |
|
|
b"100110110011011001",
|
1642 |
|
|
b"100110110001011110",
|
1643 |
|
|
b"100110101111100010",
|
1644 |
|
|
b"100110101101100111",
|
1645 |
|
|
b"100110101011101100",
|
1646 |
|
|
b"100110101001110001",
|
1647 |
|
|
b"100110100111110110",
|
1648 |
|
|
b"100110100101111100",
|
1649 |
|
|
b"100110100100000010",
|
1650 |
|
|
b"100110100010001000",
|
1651 |
|
|
b"100110100000001110",
|
1652 |
|
|
b"100110011110010101",
|
1653 |
|
|
b"100110011100011100",
|
1654 |
|
|
b"100110011010100011",
|
1655 |
|
|
b"100110011000101010",
|
1656 |
|
|
b"100110010110110010",
|
1657 |
|
|
b"100110010100111010",
|
1658 |
|
|
b"100110010011000010",
|
1659 |
|
|
b"100110010001001010",
|
1660 |
|
|
b"100110001111010011",
|
1661 |
|
|
b"100110001101011100",
|
1662 |
|
|
b"100110001011100101",
|
1663 |
|
|
b"100110001001101110",
|
1664 |
|
|
b"100110000111111000",
|
1665 |
|
|
b"100110000110000001",
|
1666 |
|
|
b"100110000100001100",
|
1667 |
|
|
b"100110000010010110",
|
1668 |
|
|
b"100110000000100001",
|
1669 |
|
|
b"100101111110101011",
|
1670 |
|
|
b"100101111100110110",
|
1671 |
|
|
b"100101111011000010",
|
1672 |
|
|
b"100101111001001101",
|
1673 |
|
|
b"100101110111011001",
|
1674 |
|
|
b"100101110101100101",
|
1675 |
|
|
b"100101110011110010",
|
1676 |
|
|
b"100101110001111110",
|
1677 |
|
|
b"100101110000001011",
|
1678 |
|
|
b"100101101110011000",
|
1679 |
|
|
b"100101101100100110",
|
1680 |
|
|
b"100101101010110011",
|
1681 |
|
|
b"100101101001000001",
|
1682 |
|
|
b"100101100111001111",
|
1683 |
|
|
b"100101100101011110",
|
1684 |
|
|
b"100101100011101100",
|
1685 |
|
|
b"100101100001111011",
|
1686 |
|
|
b"100101100000001010",
|
1687 |
|
|
b"100101011110011010",
|
1688 |
|
|
b"100101011100101001",
|
1689 |
|
|
b"100101011010111001",
|
1690 |
|
|
b"100101011001001001",
|
1691 |
|
|
b"100101010111011010",
|
1692 |
|
|
b"100101010101101011",
|
1693 |
|
|
b"100101010011111011",
|
1694 |
|
|
b"100101010010001101",
|
1695 |
|
|
b"100101010000011110",
|
1696 |
|
|
b"100101001110110000",
|
1697 |
|
|
b"100101001101000010",
|
1698 |
|
|
b"100101001011010100",
|
1699 |
|
|
b"100101001001100111",
|
1700 |
|
|
b"100101000111111001",
|
1701 |
|
|
b"100101000110001100",
|
1702 |
|
|
b"100101000100100000",
|
1703 |
|
|
b"100101000010110011",
|
1704 |
|
|
b"100101000001000111",
|
1705 |
|
|
b"100100111111011011",
|
1706 |
|
|
b"100100111101101111",
|
1707 |
|
|
b"100100111100000100",
|
1708 |
|
|
b"100100111010011001",
|
1709 |
|
|
b"100100111000101110",
|
1710 |
|
|
b"100100110111000011",
|
1711 |
|
|
b"100100110101011001",
|
1712 |
|
|
b"100100110011101110",
|
1713 |
|
|
b"100100110010000101",
|
1714 |
|
|
b"100100110000011011",
|
1715 |
|
|
b"100100101110110010",
|
1716 |
|
|
b"100100101101001001",
|
1717 |
|
|
b"100100101011100000",
|
1718 |
|
|
b"100100101001110111",
|
1719 |
|
|
b"100100101000001111",
|
1720 |
|
|
b"100100100110100111",
|
1721 |
|
|
b"100100100100111111",
|
1722 |
|
|
b"100100100011011000",
|
1723 |
|
|
b"100100100001110000",
|
1724 |
|
|
b"100100100000001001",
|
1725 |
|
|
b"100100011110100011",
|
1726 |
|
|
b"100100011100111100",
|
1727 |
|
|
b"100100011011010110",
|
1728 |
|
|
b"100100011001110000",
|
1729 |
|
|
b"100100011000001011",
|
1730 |
|
|
b"100100010110100101",
|
1731 |
|
|
b"100100010101000000",
|
1732 |
|
|
b"100100010011011011",
|
1733 |
|
|
b"100100010001110111",
|
1734 |
|
|
b"100100010000010010",
|
1735 |
|
|
b"100100001110101110",
|
1736 |
|
|
b"100100001101001011",
|
1737 |
|
|
b"100100001011100111",
|
1738 |
|
|
b"100100001010000100",
|
1739 |
|
|
b"100100001000100001",
|
1740 |
|
|
b"100100000110111110",
|
1741 |
|
|
b"100100000101011100",
|
1742 |
|
|
b"100100000011111010",
|
1743 |
|
|
b"100100000010011000",
|
1744 |
|
|
b"100100000000110110",
|
1745 |
|
|
b"100011111111010101",
|
1746 |
|
|
b"100011111101110100",
|
1747 |
|
|
b"100011111100010011",
|
1748 |
|
|
b"100011111010110010",
|
1749 |
|
|
b"100011111001010010",
|
1750 |
|
|
b"100011110111110010",
|
1751 |
|
|
b"100011110110010010",
|
1752 |
|
|
b"100011110100110011",
|
1753 |
|
|
b"100011110011010100",
|
1754 |
|
|
b"100011110001110101",
|
1755 |
|
|
b"100011110000010110",
|
1756 |
|
|
b"100011101110111000",
|
1757 |
|
|
b"100011101101011010",
|
1758 |
|
|
b"100011101011111100",
|
1759 |
|
|
b"100011101010011110",
|
1760 |
|
|
b"100011101001000001",
|
1761 |
|
|
b"100011100111100100",
|
1762 |
|
|
b"100011100110000111",
|
1763 |
|
|
b"100011100100101011",
|
1764 |
|
|
b"100011100011001111",
|
1765 |
|
|
b"100011100001110011",
|
1766 |
|
|
b"100011100000010111",
|
1767 |
|
|
b"100011011110111100",
|
1768 |
|
|
b"100011011101100001",
|
1769 |
|
|
b"100011011100000110",
|
1770 |
|
|
b"100011011010101011",
|
1771 |
|
|
b"100011011001010001",
|
1772 |
|
|
b"100011010111110111",
|
1773 |
|
|
b"100011010110011101",
|
1774 |
|
|
b"100011010101000100",
|
1775 |
|
|
b"100011010011101011",
|
1776 |
|
|
b"100011010010010010",
|
1777 |
|
|
b"100011010000111001",
|
1778 |
|
|
b"100011001111100001",
|
1779 |
|
|
b"100011001110001001",
|
1780 |
|
|
b"100011001100110001",
|
1781 |
|
|
b"100011001011011010",
|
1782 |
|
|
b"100011001010000010",
|
1783 |
|
|
b"100011001000101011",
|
1784 |
|
|
b"100011000111010101",
|
1785 |
|
|
b"100011000101111110",
|
1786 |
|
|
b"100011000100101000",
|
1787 |
|
|
b"100011000011010010",
|
1788 |
|
|
b"100011000001111101",
|
1789 |
|
|
b"100011000000101000",
|
1790 |
|
|
b"100010111111010011",
|
1791 |
|
|
b"100010111101111110",
|
1792 |
|
|
b"100010111100101001",
|
1793 |
|
|
b"100010111011010101",
|
1794 |
|
|
b"100010111010000001",
|
1795 |
|
|
b"100010111000101110",
|
1796 |
|
|
b"100010110111011011",
|
1797 |
|
|
b"100010110110001000",
|
1798 |
|
|
b"100010110100110101",
|
1799 |
|
|
b"100010110011100010",
|
1800 |
|
|
b"100010110010010000",
|
1801 |
|
|
b"100010110000111110",
|
1802 |
|
|
b"100010101111101101",
|
1803 |
|
|
b"100010101110011011",
|
1804 |
|
|
b"100010101101001010",
|
1805 |
|
|
b"100010101011111001",
|
1806 |
|
|
b"100010101010101001",
|
1807 |
|
|
b"100010101001011001",
|
1808 |
|
|
b"100010101000001001",
|
1809 |
|
|
b"100010100110111001",
|
1810 |
|
|
b"100010100101101010",
|
1811 |
|
|
b"100010100100011011",
|
1812 |
|
|
b"100010100011001100",
|
1813 |
|
|
b"100010100001111101",
|
1814 |
|
|
b"100010100000101111",
|
1815 |
|
|
b"100010011111100001",
|
1816 |
|
|
b"100010011110010100",
|
1817 |
|
|
b"100010011101000110",
|
1818 |
|
|
b"100010011011111001",
|
1819 |
|
|
b"100010011010101100",
|
1820 |
|
|
b"100010011001100000",
|
1821 |
|
|
b"100010011000010100",
|
1822 |
|
|
b"100010010111001000",
|
1823 |
|
|
b"100010010101111100",
|
1824 |
|
|
b"100010010100110001",
|
1825 |
|
|
b"100010010011100110",
|
1826 |
|
|
b"100010010010011011",
|
1827 |
|
|
b"100010010001010000",
|
1828 |
|
|
b"100010010000000110",
|
1829 |
|
|
b"100010001110111100",
|
1830 |
|
|
b"100010001101110010",
|
1831 |
|
|
b"100010001100101001",
|
1832 |
|
|
b"100010001011100000",
|
1833 |
|
|
b"100010001010010111",
|
1834 |
|
|
b"100010001001001111",
|
1835 |
|
|
b"100010001000000110",
|
1836 |
|
|
b"100010000110111111",
|
1837 |
|
|
b"100010000101110111",
|
1838 |
|
|
b"100010000100110000",
|
1839 |
|
|
b"100010000011101000",
|
1840 |
|
|
b"100010000010100010",
|
1841 |
|
|
b"100010000001011011",
|
1842 |
|
|
b"100010000000010101",
|
1843 |
|
|
b"100001111111001111",
|
1844 |
|
|
b"100001111110001010",
|
1845 |
|
|
b"100001111101000100",
|
1846 |
|
|
b"100001111011111111",
|
1847 |
|
|
b"100001111010111010",
|
1848 |
|
|
b"100001111001110110",
|
1849 |
|
|
b"100001111000110010",
|
1850 |
|
|
b"100001110111101110",
|
1851 |
|
|
b"100001110110101010",
|
1852 |
|
|
b"100001110101100111",
|
1853 |
|
|
b"100001110100100100",
|
1854 |
|
|
b"100001110011100001",
|
1855 |
|
|
b"100001110010011111",
|
1856 |
|
|
b"100001110001011101",
|
1857 |
|
|
b"100001110000011011",
|
1858 |
|
|
b"100001101111011001",
|
1859 |
|
|
b"100001101110011000",
|
1860 |
|
|
b"100001101101010111",
|
1861 |
|
|
b"100001101100010110",
|
1862 |
|
|
b"100001101011010110",
|
1863 |
|
|
b"100001101010010110",
|
1864 |
|
|
b"100001101001010110",
|
1865 |
|
|
b"100001101000010111",
|
1866 |
|
|
b"100001100111010111",
|
1867 |
|
|
b"100001100110011000",
|
1868 |
|
|
b"100001100101011010",
|
1869 |
|
|
b"100001100100011100",
|
1870 |
|
|
b"100001100011011101",
|
1871 |
|
|
b"100001100010100000",
|
1872 |
|
|
b"100001100001100010",
|
1873 |
|
|
b"100001100000100101",
|
1874 |
|
|
b"100001011111101000",
|
1875 |
|
|
b"100001011110101100",
|
1876 |
|
|
b"100001011101101111",
|
1877 |
|
|
b"100001011100110011",
|
1878 |
|
|
b"100001011011111000",
|
1879 |
|
|
b"100001011010111100",
|
1880 |
|
|
b"100001011010000001",
|
1881 |
|
|
b"100001011001000110",
|
1882 |
|
|
b"100001011000001100",
|
1883 |
|
|
b"100001010111010010",
|
1884 |
|
|
b"100001010110011000",
|
1885 |
|
|
b"100001010101011110",
|
1886 |
|
|
b"100001010100100101",
|
1887 |
|
|
b"100001010011101100",
|
1888 |
|
|
b"100001010010110011",
|
1889 |
|
|
b"100001010001111011",
|
1890 |
|
|
b"100001010001000010",
|
1891 |
|
|
b"100001010000001011",
|
1892 |
|
|
b"100001001111010011",
|
1893 |
|
|
b"100001001110011100",
|
1894 |
|
|
b"100001001101100101",
|
1895 |
|
|
b"100001001100101110",
|
1896 |
|
|
b"100001001011111000",
|
1897 |
|
|
b"100001001011000010",
|
1898 |
|
|
b"100001001010001100",
|
1899 |
|
|
b"100001001001010110",
|
1900 |
|
|
b"100001001000100001",
|
1901 |
|
|
b"100001000111101100",
|
1902 |
|
|
b"100001000110111000",
|
1903 |
|
|
b"100001000110000011",
|
1904 |
|
|
b"100001000101010000",
|
1905 |
|
|
b"100001000100011100",
|
1906 |
|
|
b"100001000011101000",
|
1907 |
|
|
b"100001000010110101",
|
1908 |
|
|
b"100001000010000011",
|
1909 |
|
|
b"100001000001010000",
|
1910 |
|
|
b"100001000000011110",
|
1911 |
|
|
b"100000111111101100",
|
1912 |
|
|
b"100000111110111010",
|
1913 |
|
|
b"100000111110001001",
|
1914 |
|
|
b"100000111101011000",
|
1915 |
|
|
b"100000111100100111",
|
1916 |
|
|
b"100000111011110111",
|
1917 |
|
|
b"100000111011000111",
|
1918 |
|
|
b"100000111010010111",
|
1919 |
|
|
b"100000111001101000",
|
1920 |
|
|
b"100000111000111000",
|
1921 |
|
|
b"100000111000001001",
|
1922 |
|
|
b"100000110111011011",
|
1923 |
|
|
b"100000110110101100",
|
1924 |
|
|
b"100000110101111110",
|
1925 |
|
|
b"100000110101010001",
|
1926 |
|
|
b"100000110100100011",
|
1927 |
|
|
b"100000110011110110",
|
1928 |
|
|
b"100000110011001001",
|
1929 |
|
|
b"100000110010011101",
|
1930 |
|
|
b"100000110001110001",
|
1931 |
|
|
b"100000110001000101",
|
1932 |
|
|
b"100000110000011001",
|
1933 |
|
|
b"100000101111101110",
|
1934 |
|
|
b"100000101111000011",
|
1935 |
|
|
b"100000101110011000",
|
1936 |
|
|
b"100000101101101110",
|
1937 |
|
|
b"100000101101000100",
|
1938 |
|
|
b"100000101100011010",
|
1939 |
|
|
b"100000101011110000",
|
1940 |
|
|
b"100000101011000111",
|
1941 |
|
|
b"100000101010011110",
|
1942 |
|
|
b"100000101001110110",
|
1943 |
|
|
b"100000101001001110",
|
1944 |
|
|
b"100000101000100110",
|
1945 |
|
|
b"100000100111111110",
|
1946 |
|
|
b"100000100111010110",
|
1947 |
|
|
b"100000100110101111",
|
1948 |
|
|
b"100000100110001001",
|
1949 |
|
|
b"100000100101100010",
|
1950 |
|
|
b"100000100100111100",
|
1951 |
|
|
b"100000100100010110",
|
1952 |
|
|
b"100000100011110001",
|
1953 |
|
|
b"100000100011001011",
|
1954 |
|
|
b"100000100010100110",
|
1955 |
|
|
b"100000100010000010",
|
1956 |
|
|
b"100000100001011101",
|
1957 |
|
|
b"100000100000111001",
|
1958 |
|
|
b"100000100000010110",
|
1959 |
|
|
b"100000011111110010",
|
1960 |
|
|
b"100000011111001111",
|
1961 |
|
|
b"100000011110101100",
|
1962 |
|
|
b"100000011110001010",
|
1963 |
|
|
b"100000011101100111",
|
1964 |
|
|
b"100000011101000110",
|
1965 |
|
|
b"100000011100100100",
|
1966 |
|
|
b"100000011100000011",
|
1967 |
|
|
b"100000011011100010",
|
1968 |
|
|
b"100000011011000001",
|
1969 |
|
|
b"100000011010100001",
|
1970 |
|
|
b"100000011010000000",
|
1971 |
|
|
b"100000011001100001",
|
1972 |
|
|
b"100000011001000001",
|
1973 |
|
|
b"100000011000100010",
|
1974 |
|
|
b"100000011000000011",
|
1975 |
|
|
b"100000010111100101",
|
1976 |
|
|
b"100000010111000110",
|
1977 |
|
|
b"100000010110101000",
|
1978 |
|
|
b"100000010110001011",
|
1979 |
|
|
b"100000010101101101",
|
1980 |
|
|
b"100000010101010000",
|
1981 |
|
|
b"100000010100110100",
|
1982 |
|
|
b"100000010100010111",
|
1983 |
|
|
b"100000010011111011",
|
1984 |
|
|
b"100000010011011111",
|
1985 |
|
|
b"100000010011000100",
|
1986 |
|
|
b"100000010010101000",
|
1987 |
|
|
b"100000010010001101",
|
1988 |
|
|
b"100000010001110011",
|
1989 |
|
|
b"100000010001011001",
|
1990 |
|
|
b"100000010000111111",
|
1991 |
|
|
b"100000010000100101",
|
1992 |
|
|
b"100000010000001100",
|
1993 |
|
|
b"100000001111110010",
|
1994 |
|
|
b"100000001111011010",
|
1995 |
|
|
b"100000001111000001",
|
1996 |
|
|
b"100000001110101001",
|
1997 |
|
|
b"100000001110010001",
|
1998 |
|
|
b"100000001101111010",
|
1999 |
|
|
b"100000001101100010",
|
2000 |
|
|
b"100000001101001100",
|
2001 |
|
|
b"100000001100110101",
|
2002 |
|
|
b"100000001100011111",
|
2003 |
|
|
b"100000001100001001",
|
2004 |
|
|
b"100000001011110011",
|
2005 |
|
|
b"100000001011011110",
|
2006 |
|
|
b"100000001011001000",
|
2007 |
|
|
b"100000001010110100",
|
2008 |
|
|
b"100000001010011111",
|
2009 |
|
|
b"100000001010001011",
|
2010 |
|
|
b"100000001001110111",
|
2011 |
|
|
b"100000001001100100",
|
2012 |
|
|
b"100000001001010000",
|
2013 |
|
|
b"100000001000111101",
|
2014 |
|
|
b"100000001000101011",
|
2015 |
|
|
b"100000001000011000",
|
2016 |
|
|
b"100000001000000110",
|
2017 |
|
|
b"100000000111110101",
|
2018 |
|
|
b"100000000111100011",
|
2019 |
|
|
b"100000000111010010",
|
2020 |
|
|
b"100000000111000001",
|
2021 |
|
|
b"100000000110110001",
|
2022 |
|
|
b"100000000110100001",
|
2023 |
|
|
b"100000000110010001",
|
2024 |
|
|
b"100000000110000001",
|
2025 |
|
|
b"100000000101110010",
|
2026 |
|
|
b"100000000101100011",
|
2027 |
|
|
b"100000000101010101",
|
2028 |
|
|
b"100000000101000110",
|
2029 |
|
|
b"100000000100111000",
|
2030 |
|
|
b"100000000100101010",
|
2031 |
|
|
b"100000000100011101",
|
2032 |
|
|
b"100000000100010000",
|
2033 |
|
|
b"100000000100000011",
|
2034 |
|
|
b"100000000011110111",
|
2035 |
|
|
b"100000000011101010",
|
2036 |
|
|
b"100000000011011111",
|
2037 |
|
|
b"100000000011010011",
|
2038 |
|
|
b"100000000011001000",
|
2039 |
|
|
b"100000000010111101",
|
2040 |
|
|
b"100000000010110010",
|
2041 |
|
|
b"100000000010101000",
|
2042 |
|
|
b"100000000010011110",
|
2043 |
|
|
b"100000000010010100",
|
2044 |
|
|
b"100000000010001011",
|
2045 |
|
|
b"100000000010000010",
|
2046 |
|
|
b"100000000001111001",
|
2047 |
|
|
b"100000000001110000",
|
2048 |
|
|
b"100000000001101000",
|
2049 |
|
|
b"100000000001100000",
|
2050 |
|
|
b"100000000001011001",
|
2051 |
|
|
b"100000000001010010",
|
2052 |
|
|
b"100000000001001011",
|
2053 |
|
|
b"100000000001000100",
|
2054 |
|
|
b"100000000000111110",
|
2055 |
|
|
b"100000000000111000",
|
2056 |
|
|
b"100000000000110010",
|
2057 |
|
|
b"100000000000101101",
|
2058 |
|
|
b"100000000000100111",
|
2059 |
|
|
b"100000000000100011",
|
2060 |
|
|
b"100000000000011110",
|
2061 |
|
|
b"100000000000011010",
|
2062 |
|
|
b"100000000000010110",
|
2063 |
|
|
b"100000000000010011",
|
2064 |
|
|
b"100000000000001111",
|
2065 |
|
|
b"100000000000001100",
|
2066 |
|
|
b"100000000000001010",
|
2067 |
|
|
b"100000000000001000",
|
2068 |
|
|
b"100000000000000110",
|
2069 |
|
|
b"100000000000000100",
|
2070 |
|
|
b"100000000000000010",
|
2071 |
|
|
b"100000000000000001",
|
2072 |
|
|
b"100000000000000001",
|
2073 |
|
|
b"100000000000000000"
|
2074 |
|
|
);
|
2075 |
|
|
|
2076 |
|
|
constant wIm: wRowTyp :=
|
2077 |
|
|
(
|
2078 |
|
|
b"000000000000000000",
|
2079 |
|
|
b"111111111100110110",
|
2080 |
|
|
b"111111111001101101",
|
2081 |
|
|
b"111111110110100100",
|
2082 |
|
|
b"111111110011011011",
|
2083 |
|
|
b"111111110000010010",
|
2084 |
|
|
b"111111101101001001",
|
2085 |
|
|
b"111111101010000000",
|
2086 |
|
|
b"111111100110110111",
|
2087 |
|
|
b"111111100011101110",
|
2088 |
|
|
b"111111100000100100",
|
2089 |
|
|
b"111111011101011011",
|
2090 |
|
|
b"111111011010010010",
|
2091 |
|
|
b"111111010111001001",
|
2092 |
|
|
b"111111010100000000",
|
2093 |
|
|
b"111111010000110111",
|
2094 |
|
|
b"111111001101101110",
|
2095 |
|
|
b"111111001010100101",
|
2096 |
|
|
b"111111000111011100",
|
2097 |
|
|
b"111111000100010011",
|
2098 |
|
|
b"111111000001001010",
|
2099 |
|
|
b"111110111110000001",
|
2100 |
|
|
b"111110111010111001",
|
2101 |
|
|
b"111110110111110000",
|
2102 |
|
|
b"111110110100100111",
|
2103 |
|
|
b"111110110001011110",
|
2104 |
|
|
b"111110101110010101",
|
2105 |
|
|
b"111110101011001100",
|
2106 |
|
|
b"111110101000000011",
|
2107 |
|
|
b"111110100100111010",
|
2108 |
|
|
b"111110100001110001",
|
2109 |
|
|
b"111110011110101000",
|
2110 |
|
|
b"111110011011100000",
|
2111 |
|
|
b"111110011000010111",
|
2112 |
|
|
b"111110010101001110",
|
2113 |
|
|
b"111110010010000101",
|
2114 |
|
|
b"111110001110111101",
|
2115 |
|
|
b"111110001011110100",
|
2116 |
|
|
b"111110001000101011",
|
2117 |
|
|
b"111110000101100010",
|
2118 |
|
|
b"111110000010011010",
|
2119 |
|
|
b"111101111111010001",
|
2120 |
|
|
b"111101111100001000",
|
2121 |
|
|
b"111101111001000000",
|
2122 |
|
|
b"111101110101110111",
|
2123 |
|
|
b"111101110010101110",
|
2124 |
|
|
b"111101101111100110",
|
2125 |
|
|
b"111101101100011101",
|
2126 |
|
|
b"111101101001010101",
|
2127 |
|
|
b"111101100110001100",
|
2128 |
|
|
b"111101100011000100",
|
2129 |
|
|
b"111101011111111011",
|
2130 |
|
|
b"111101011100110011",
|
2131 |
|
|
b"111101011001101011",
|
2132 |
|
|
b"111101010110100010",
|
2133 |
|
|
b"111101010011011010",
|
2134 |
|
|
b"111101010000010001",
|
2135 |
|
|
b"111101001101001001",
|
2136 |
|
|
b"111101001010000001",
|
2137 |
|
|
b"111101000110111001",
|
2138 |
|
|
b"111101000011110000",
|
2139 |
|
|
b"111101000000101000",
|
2140 |
|
|
b"111100111101100000",
|
2141 |
|
|
b"111100111010011000",
|
2142 |
|
|
b"111100110111010000",
|
2143 |
|
|
b"111100110100001000",
|
2144 |
|
|
b"111100110001000000",
|
2145 |
|
|
b"111100101101111000",
|
2146 |
|
|
b"111100101010110000",
|
2147 |
|
|
b"111100100111101000",
|
2148 |
|
|
b"111100100100100000",
|
2149 |
|
|
b"111100100001011000",
|
2150 |
|
|
b"111100011110010000",
|
2151 |
|
|
b"111100011011001000",
|
2152 |
|
|
b"111100011000000000",
|
2153 |
|
|
b"111100010100111001",
|
2154 |
|
|
b"111100010001110001",
|
2155 |
|
|
b"111100001110101001",
|
2156 |
|
|
b"111100001011100010",
|
2157 |
|
|
b"111100001000011010",
|
2158 |
|
|
b"111100000101010011",
|
2159 |
|
|
b"111100000010001011",
|
2160 |
|
|
b"111011111111000011",
|
2161 |
|
|
b"111011111011111100",
|
2162 |
|
|
b"111011111000110101",
|
2163 |
|
|
b"111011110101101101",
|
2164 |
|
|
b"111011110010100110",
|
2165 |
|
|
b"111011101111011111",
|
2166 |
|
|
b"111011101100010111",
|
2167 |
|
|
b"111011101001010000",
|
2168 |
|
|
b"111011100110001001",
|
2169 |
|
|
b"111011100011000010",
|
2170 |
|
|
b"111011011111111011",
|
2171 |
|
|
b"111011011100110100",
|
2172 |
|
|
b"111011011001101101",
|
2173 |
|
|
b"111011010110100110",
|
2174 |
|
|
b"111011010011011111",
|
2175 |
|
|
b"111011010000011000",
|
2176 |
|
|
b"111011001101010001",
|
2177 |
|
|
b"111011001010001010",
|
2178 |
|
|
b"111011000111000100",
|
2179 |
|
|
b"111011000011111101",
|
2180 |
|
|
b"111011000000110110",
|
2181 |
|
|
b"111010111101110000",
|
2182 |
|
|
b"111010111010101001",
|
2183 |
|
|
b"111010110111100011",
|
2184 |
|
|
b"111010110100011100",
|
2185 |
|
|
b"111010110001010110",
|
2186 |
|
|
b"111010101110010000",
|
2187 |
|
|
b"111010101011001001",
|
2188 |
|
|
b"111010101000000011",
|
2189 |
|
|
b"111010100100111101",
|
2190 |
|
|
b"111010100001110111",
|
2191 |
|
|
b"111010011110110001",
|
2192 |
|
|
b"111010011011101011",
|
2193 |
|
|
b"111010011000100101",
|
2194 |
|
|
b"111010010101011111",
|
2195 |
|
|
b"111010010010011001",
|
2196 |
|
|
b"111010001111010011",
|
2197 |
|
|
b"111010001100001101",
|
2198 |
|
|
b"111010001001001000",
|
2199 |
|
|
b"111010000110000010",
|
2200 |
|
|
b"111010000010111101",
|
2201 |
|
|
b"111001111111110111",
|
2202 |
|
|
b"111001111100110010",
|
2203 |
|
|
b"111001111001101100",
|
2204 |
|
|
b"111001110110100111",
|
2205 |
|
|
b"111001110011100010",
|
2206 |
|
|
b"111001110000011100",
|
2207 |
|
|
b"111001101101010111",
|
2208 |
|
|
b"111001101010010010",
|
2209 |
|
|
b"111001100111001101",
|
2210 |
|
|
b"111001100100001000",
|
2211 |
|
|
b"111001100001000011",
|
2212 |
|
|
b"111001011101111110",
|
2213 |
|
|
b"111001011010111001",
|
2214 |
|
|
b"111001010111110101",
|
2215 |
|
|
b"111001010100110000",
|
2216 |
|
|
b"111001010001101011",
|
2217 |
|
|
b"111001001110100111",
|
2218 |
|
|
b"111001001011100010",
|
2219 |
|
|
b"111001001000011110",
|
2220 |
|
|
b"111001000101011010",
|
2221 |
|
|
b"111001000010010101",
|
2222 |
|
|
b"111000111111010001",
|
2223 |
|
|
b"111000111100001101",
|
2224 |
|
|
b"111000111001001001",
|
2225 |
|
|
b"111000110110000101",
|
2226 |
|
|
b"111000110011000001",
|
2227 |
|
|
b"111000101111111101",
|
2228 |
|
|
b"111000101100111001",
|
2229 |
|
|
b"111000101001110110",
|
2230 |
|
|
b"111000100110110010",
|
2231 |
|
|
b"111000100011101110",
|
2232 |
|
|
b"111000100000101011",
|
2233 |
|
|
b"111000011101100111",
|
2234 |
|
|
b"111000011010100100",
|
2235 |
|
|
b"111000010111100001",
|
2236 |
|
|
b"111000010100011110",
|
2237 |
|
|
b"111000010001011010",
|
2238 |
|
|
b"111000001110010111",
|
2239 |
|
|
b"111000001011010100",
|
2240 |
|
|
b"111000001000010001",
|
2241 |
|
|
b"111000000101001111",
|
2242 |
|
|
b"111000000010001100",
|
2243 |
|
|
b"110111111111001001",
|
2244 |
|
|
b"110111111100000111",
|
2245 |
|
|
b"110111111001000100",
|
2246 |
|
|
b"110111110110000010",
|
2247 |
|
|
b"110111110010111111",
|
2248 |
|
|
b"110111101111111101",
|
2249 |
|
|
b"110111101100111011",
|
2250 |
|
|
b"110111101001111000",
|
2251 |
|
|
b"110111100110110110",
|
2252 |
|
|
b"110111100011110100",
|
2253 |
|
|
b"110111100000110011",
|
2254 |
|
|
b"110111011101110001",
|
2255 |
|
|
b"110111011010101111",
|
2256 |
|
|
b"110111010111101101",
|
2257 |
|
|
b"110111010100101100",
|
2258 |
|
|
b"110111010001101010",
|
2259 |
|
|
b"110111001110101001",
|
2260 |
|
|
b"110111001011101000",
|
2261 |
|
|
b"110111001000100110",
|
2262 |
|
|
b"110111000101100101",
|
2263 |
|
|
b"110111000010100100",
|
2264 |
|
|
b"110110111111100011",
|
2265 |
|
|
b"110110111100100010",
|
2266 |
|
|
b"110110111001100001",
|
2267 |
|
|
b"110110110110100001",
|
2268 |
|
|
b"110110110011100000",
|
2269 |
|
|
b"110110110000100000",
|
2270 |
|
|
b"110110101101011111",
|
2271 |
|
|
b"110110101010011111",
|
2272 |
|
|
b"110110100111011110",
|
2273 |
|
|
b"110110100100011110",
|
2274 |
|
|
b"110110100001011110",
|
2275 |
|
|
b"110110011110011110",
|
2276 |
|
|
b"110110011011011110",
|
2277 |
|
|
b"110110011000011110",
|
2278 |
|
|
b"110110010101011111",
|
2279 |
|
|
b"110110010010011111",
|
2280 |
|
|
b"110110001111100000",
|
2281 |
|
|
b"110110001100100000",
|
2282 |
|
|
b"110110001001100001",
|
2283 |
|
|
b"110110000110100010",
|
2284 |
|
|
b"110110000011100010",
|
2285 |
|
|
b"110110000000100011",
|
2286 |
|
|
b"110101111101100100",
|
2287 |
|
|
b"110101111010100110",
|
2288 |
|
|
b"110101110111100111",
|
2289 |
|
|
b"110101110100101000",
|
2290 |
|
|
b"110101110001101010",
|
2291 |
|
|
b"110101101110101011",
|
2292 |
|
|
b"110101101011101101",
|
2293 |
|
|
b"110101101000101110",
|
2294 |
|
|
b"110101100101110000",
|
2295 |
|
|
b"110101100010110010",
|
2296 |
|
|
b"110101011111110100",
|
2297 |
|
|
b"110101011100110110",
|
2298 |
|
|
b"110101011001111001",
|
2299 |
|
|
b"110101010110111011",
|
2300 |
|
|
b"110101010011111101",
|
2301 |
|
|
b"110101010001000000",
|
2302 |
|
|
b"110101001110000011",
|
2303 |
|
|
b"110101001011000101",
|
2304 |
|
|
b"110101001000001000",
|
2305 |
|
|
b"110101000101001011",
|
2306 |
|
|
b"110101000010001110",
|
2307 |
|
|
b"110100111111010001",
|
2308 |
|
|
b"110100111100010101",
|
2309 |
|
|
b"110100111001011000",
|
2310 |
|
|
b"110100110110011011",
|
2311 |
|
|
b"110100110011011111",
|
2312 |
|
|
b"110100110000100011",
|
2313 |
|
|
b"110100101101100111",
|
2314 |
|
|
b"110100101010101010",
|
2315 |
|
|
b"110100100111101110",
|
2316 |
|
|
b"110100100100110011",
|
2317 |
|
|
b"110100100001110111",
|
2318 |
|
|
b"110100011110111011",
|
2319 |
|
|
b"110100011100000000",
|
2320 |
|
|
b"110100011001000100",
|
2321 |
|
|
b"110100010110001001",
|
2322 |
|
|
b"110100010011001110",
|
2323 |
|
|
b"110100010000010011",
|
2324 |
|
|
b"110100001101011000",
|
2325 |
|
|
b"110100001010011101",
|
2326 |
|
|
b"110100000111100010",
|
2327 |
|
|
b"110100000100100111",
|
2328 |
|
|
b"110100000001101101",
|
2329 |
|
|
b"110011111110110011",
|
2330 |
|
|
b"110011111011111000",
|
2331 |
|
|
b"110011111000111110",
|
2332 |
|
|
b"110011110110000100",
|
2333 |
|
|
b"110011110011001010",
|
2334 |
|
|
b"110011110000010000",
|
2335 |
|
|
b"110011101101010111",
|
2336 |
|
|
b"110011101010011101",
|
2337 |
|
|
b"110011100111100100",
|
2338 |
|
|
b"110011100100101010",
|
2339 |
|
|
b"110011100001110001",
|
2340 |
|
|
b"110011011110111000",
|
2341 |
|
|
b"110011011011111111",
|
2342 |
|
|
b"110011011001000110",
|
2343 |
|
|
b"110011010110001101",
|
2344 |
|
|
b"110011010011010101",
|
2345 |
|
|
b"110011010000011100",
|
2346 |
|
|
b"110011001101100100",
|
2347 |
|
|
b"110011001010101100",
|
2348 |
|
|
b"110011000111110011",
|
2349 |
|
|
b"110011000100111011",
|
2350 |
|
|
b"110011000010000100",
|
2351 |
|
|
b"110010111111001100",
|
2352 |
|
|
b"110010111100010100",
|
2353 |
|
|
b"110010111001011101",
|
2354 |
|
|
b"110010110110100101",
|
2355 |
|
|
b"110010110011101110",
|
2356 |
|
|
b"110010110000110111",
|
2357 |
|
|
b"110010101110000000",
|
2358 |
|
|
b"110010101011001001",
|
2359 |
|
|
b"110010101000010010",
|
2360 |
|
|
b"110010100101011100",
|
2361 |
|
|
b"110010100010100101",
|
2362 |
|
|
b"110010011111101111",
|
2363 |
|
|
b"110010011100111001",
|
2364 |
|
|
b"110010011010000011",
|
2365 |
|
|
b"110010010111001101",
|
2366 |
|
|
b"110010010100010111",
|
2367 |
|
|
b"110010010001100001",
|
2368 |
|
|
b"110010001110101100",
|
2369 |
|
|
b"110010001011110110",
|
2370 |
|
|
b"110010001001000001",
|
2371 |
|
|
b"110010000110001100",
|
2372 |
|
|
b"110010000011010111",
|
2373 |
|
|
b"110010000000100010",
|
2374 |
|
|
b"110001111101101101",
|
2375 |
|
|
b"110001111010111001",
|
2376 |
|
|
b"110001111000000100",
|
2377 |
|
|
b"110001110101010000",
|
2378 |
|
|
b"110001110010011011",
|
2379 |
|
|
b"110001101111100111",
|
2380 |
|
|
b"110001101100110011",
|
2381 |
|
|
b"110001101010000000",
|
2382 |
|
|
b"110001100111001100",
|
2383 |
|
|
b"110001100100011000",
|
2384 |
|
|
b"110001100001100101",
|
2385 |
|
|
b"110001011110110010",
|
2386 |
|
|
b"110001011011111111",
|
2387 |
|
|
b"110001011001001100",
|
2388 |
|
|
b"110001010110011001",
|
2389 |
|
|
b"110001010011100110",
|
2390 |
|
|
b"110001010000110100",
|
2391 |
|
|
b"110001001110000001",
|
2392 |
|
|
b"110001001011001111",
|
2393 |
|
|
b"110001001000011101",
|
2394 |
|
|
b"110001000101101011",
|
2395 |
|
|
b"110001000010111001",
|
2396 |
|
|
b"110001000000000111",
|
2397 |
|
|
b"110000111101010110",
|
2398 |
|
|
b"110000111010100101",
|
2399 |
|
|
b"110000110111110011",
|
2400 |
|
|
b"110000110101000010",
|
2401 |
|
|
b"110000110010010001",
|
2402 |
|
|
b"110000101111100000",
|
2403 |
|
|
b"110000101100110000",
|
2404 |
|
|
b"110000101001111111",
|
2405 |
|
|
b"110000100111001111",
|
2406 |
|
|
b"110000100100011111",
|
2407 |
|
|
b"110000100001101111",
|
2408 |
|
|
b"110000011110111111",
|
2409 |
|
|
b"110000011100001111",
|
2410 |
|
|
b"110000011001011111",
|
2411 |
|
|
b"110000010110110000",
|
2412 |
|
|
b"110000010100000001",
|
2413 |
|
|
b"110000010001010001",
|
2414 |
|
|
b"110000001110100010",
|
2415 |
|
|
b"110000001011110011",
|
2416 |
|
|
b"110000001001000101",
|
2417 |
|
|
b"110000000110010110",
|
2418 |
|
|
b"110000000011101000",
|
2419 |
|
|
b"110000000000111010",
|
2420 |
|
|
b"101111111110001011",
|
2421 |
|
|
b"101111111011011110",
|
2422 |
|
|
b"101111111000110000",
|
2423 |
|
|
b"101111110110000010",
|
2424 |
|
|
b"101111110011010101",
|
2425 |
|
|
b"101111110000100111",
|
2426 |
|
|
b"101111101101111010",
|
2427 |
|
|
b"101111101011001101",
|
2428 |
|
|
b"101111101000100000",
|
2429 |
|
|
b"101111100101110100",
|
2430 |
|
|
b"101111100011000111",
|
2431 |
|
|
b"101111100000011011",
|
2432 |
|
|
b"101111011101101110",
|
2433 |
|
|
b"101111011011000010",
|
2434 |
|
|
b"101111011000010110",
|
2435 |
|
|
b"101111010101101011",
|
2436 |
|
|
b"101111010010111111",
|
2437 |
|
|
b"101111010000010100",
|
2438 |
|
|
b"101111001101101001",
|
2439 |
|
|
b"101111001010111101",
|
2440 |
|
|
b"101111001000010010",
|
2441 |
|
|
b"101111000101101000",
|
2442 |
|
|
b"101111000010111101",
|
2443 |
|
|
b"101111000000010011",
|
2444 |
|
|
b"101110111101101000",
|
2445 |
|
|
b"101110111010111110",
|
2446 |
|
|
b"101110111000010100",
|
2447 |
|
|
b"101110110101101011",
|
2448 |
|
|
b"101110110011000001",
|
2449 |
|
|
b"101110110000010111",
|
2450 |
|
|
b"101110101101101110",
|
2451 |
|
|
b"101110101011000101",
|
2452 |
|
|
b"101110101000011100",
|
2453 |
|
|
b"101110100101110011",
|
2454 |
|
|
b"101110100011001011",
|
2455 |
|
|
b"101110100000100010",
|
2456 |
|
|
b"101110011101111010",
|
2457 |
|
|
b"101110011011010010",
|
2458 |
|
|
b"101110011000101010",
|
2459 |
|
|
b"101110010110000010",
|
2460 |
|
|
b"101110010011011011",
|
2461 |
|
|
b"101110010000110011",
|
2462 |
|
|
b"101110001110001100",
|
2463 |
|
|
b"101110001011100101",
|
2464 |
|
|
b"101110001000111110",
|
2465 |
|
|
b"101110000110010111",
|
2466 |
|
|
b"101110000011110001",
|
2467 |
|
|
b"101110000001001010",
|
2468 |
|
|
b"101101111110100100",
|
2469 |
|
|
b"101101111011111110",
|
2470 |
|
|
b"101101111001011000",
|
2471 |
|
|
b"101101110110110010",
|
2472 |
|
|
b"101101110100001101",
|
2473 |
|
|
b"101101110001100111",
|
2474 |
|
|
b"101101101111000010",
|
2475 |
|
|
b"101101101100011101",
|
2476 |
|
|
b"101101101001111000",
|
2477 |
|
|
b"101101100111010100",
|
2478 |
|
|
b"101101100100101111",
|
2479 |
|
|
b"101101100010001011",
|
2480 |
|
|
b"101101011111100111",
|
2481 |
|
|
b"101101011101000011",
|
2482 |
|
|
b"101101011010011111",
|
2483 |
|
|
b"101101010111111100",
|
2484 |
|
|
b"101101010101011000",
|
2485 |
|
|
b"101101010010110101",
|
2486 |
|
|
b"101101010000010010",
|
2487 |
|
|
b"101101001101101111",
|
2488 |
|
|
b"101101001011001100",
|
2489 |
|
|
b"101101001000101010",
|
2490 |
|
|
b"101101000110001000",
|
2491 |
|
|
b"101101000011100101",
|
2492 |
|
|
b"101101000001000011",
|
2493 |
|
|
b"101100111110100010",
|
2494 |
|
|
b"101100111100000000",
|
2495 |
|
|
b"101100111001011111",
|
2496 |
|
|
b"101100110110111101",
|
2497 |
|
|
b"101100110100011100",
|
2498 |
|
|
b"101100110001111100",
|
2499 |
|
|
b"101100101111011011",
|
2500 |
|
|
b"101100101100111010",
|
2501 |
|
|
b"101100101010011010",
|
2502 |
|
|
b"101100100111111010",
|
2503 |
|
|
b"101100100101011010",
|
2504 |
|
|
b"101100100010111010",
|
2505 |
|
|
b"101100100000011011",
|
2506 |
|
|
b"101100011101111100",
|
2507 |
|
|
b"101100011011011100",
|
2508 |
|
|
b"101100011000111101",
|
2509 |
|
|
b"101100010110011111",
|
2510 |
|
|
b"101100010100000000",
|
2511 |
|
|
b"101100010001100010",
|
2512 |
|
|
b"101100001111000011",
|
2513 |
|
|
b"101100001100100101",
|
2514 |
|
|
b"101100001010000111",
|
2515 |
|
|
b"101100000111101010",
|
2516 |
|
|
b"101100000101001100",
|
2517 |
|
|
b"101100000010101111",
|
2518 |
|
|
b"101100000000010010",
|
2519 |
|
|
b"101011111101110101",
|
2520 |
|
|
b"101011111011011000",
|
2521 |
|
|
b"101011111000111100",
|
2522 |
|
|
b"101011110110100000",
|
2523 |
|
|
b"101011110100000100",
|
2524 |
|
|
b"101011110001101000",
|
2525 |
|
|
b"101011101111001100",
|
2526 |
|
|
b"101011101100110000",
|
2527 |
|
|
b"101011101010010101",
|
2528 |
|
|
b"101011100111111010",
|
2529 |
|
|
b"101011100101011111",
|
2530 |
|
|
b"101011100011000100",
|
2531 |
|
|
b"101011100000101010",
|
2532 |
|
|
b"101011011110001111",
|
2533 |
|
|
b"101011011011110101",
|
2534 |
|
|
b"101011011001011011",
|
2535 |
|
|
b"101011010111000010",
|
2536 |
|
|
b"101011010100101000",
|
2537 |
|
|
b"101011010010001111",
|
2538 |
|
|
b"101011001111110110",
|
2539 |
|
|
b"101011001101011101",
|
2540 |
|
|
b"101011001011000100",
|
2541 |
|
|
b"101011001000101011",
|
2542 |
|
|
b"101011000110010011",
|
2543 |
|
|
b"101011000011111011",
|
2544 |
|
|
b"101011000001100011",
|
2545 |
|
|
b"101010111111001011",
|
2546 |
|
|
b"101010111100110100",
|
2547 |
|
|
b"101010111010011100",
|
2548 |
|
|
b"101010111000000101",
|
2549 |
|
|
b"101010110101101110",
|
2550 |
|
|
b"101010110011011000",
|
2551 |
|
|
b"101010110001000001",
|
2552 |
|
|
b"101010101110101011",
|
2553 |
|
|
b"101010101100010101",
|
2554 |
|
|
b"101010101001111111",
|
2555 |
|
|
b"101010100111101001",
|
2556 |
|
|
b"101010100101010011",
|
2557 |
|
|
b"101010100010111110",
|
2558 |
|
|
b"101010100000101001",
|
2559 |
|
|
b"101010011110010100",
|
2560 |
|
|
b"101010011100000000",
|
2561 |
|
|
b"101010011001101011",
|
2562 |
|
|
b"101010010111010111",
|
2563 |
|
|
b"101010010101000011",
|
2564 |
|
|
b"101010010010101111",
|
2565 |
|
|
b"101010010000011011",
|
2566 |
|
|
b"101010001110001000",
|
2567 |
|
|
b"101010001011110101",
|
2568 |
|
|
b"101010001001100010",
|
2569 |
|
|
b"101010000111001111",
|
2570 |
|
|
b"101010000100111100",
|
2571 |
|
|
b"101010000010101010",
|
2572 |
|
|
b"101010000000011000",
|
2573 |
|
|
b"101001111110000110",
|
2574 |
|
|
b"101001111011110100",
|
2575 |
|
|
b"101001111001100011",
|
2576 |
|
|
b"101001110111010001",
|
2577 |
|
|
b"101001110101000000",
|
2578 |
|
|
b"101001110010101111",
|
2579 |
|
|
b"101001110000011111",
|
2580 |
|
|
b"101001101110001110",
|
2581 |
|
|
b"101001101011111110",
|
2582 |
|
|
b"101001101001101110",
|
2583 |
|
|
b"101001100111011110",
|
2584 |
|
|
b"101001100101001111",
|
2585 |
|
|
b"101001100010111111",
|
2586 |
|
|
b"101001100000110000",
|
2587 |
|
|
b"101001011110100001",
|
2588 |
|
|
b"101001011100010011",
|
2589 |
|
|
b"101001011010000100",
|
2590 |
|
|
b"101001010111110110",
|
2591 |
|
|
b"101001010101101000",
|
2592 |
|
|
b"101001010011011010",
|
2593 |
|
|
b"101001010001001100",
|
2594 |
|
|
b"101001001110111111",
|
2595 |
|
|
b"101001001100110010",
|
2596 |
|
|
b"101001001010100101",
|
2597 |
|
|
b"101001001000011000",
|
2598 |
|
|
b"101001000110001011",
|
2599 |
|
|
b"101001000011111111",
|
2600 |
|
|
b"101001000001110011",
|
2601 |
|
|
b"101000111111100111",
|
2602 |
|
|
b"101000111101011100",
|
2603 |
|
|
b"101000111011010000",
|
2604 |
|
|
b"101000111001000101",
|
2605 |
|
|
b"101000110110111010",
|
2606 |
|
|
b"101000110100101111",
|
2607 |
|
|
b"101000110010100101",
|
2608 |
|
|
b"101000110000011010",
|
2609 |
|
|
b"101000101110010000",
|
2610 |
|
|
b"101000101100000110",
|
2611 |
|
|
b"101000101001111101",
|
2612 |
|
|
b"101000100111110011",
|
2613 |
|
|
b"101000100101101010",
|
2614 |
|
|
b"101000100011100001",
|
2615 |
|
|
b"101000100001011001",
|
2616 |
|
|
b"101000011111010000",
|
2617 |
|
|
b"101000011101001000",
|
2618 |
|
|
b"101000011011000000",
|
2619 |
|
|
b"101000011000111000",
|
2620 |
|
|
b"101000010110110000",
|
2621 |
|
|
b"101000010100101001",
|
2622 |
|
|
b"101000010010100010",
|
2623 |
|
|
b"101000010000011011",
|
2624 |
|
|
b"101000001110010100",
|
2625 |
|
|
b"101000001100001110",
|
2626 |
|
|
b"101000001010001000",
|
2627 |
|
|
b"101000001000000010",
|
2628 |
|
|
b"101000000101111100",
|
2629 |
|
|
b"101000000011110110",
|
2630 |
|
|
b"101000000001110001",
|
2631 |
|
|
b"100111111111101100",
|
2632 |
|
|
b"100111111101100111",
|
2633 |
|
|
b"100111111011100010",
|
2634 |
|
|
b"100111111001011110",
|
2635 |
|
|
b"100111110111011010",
|
2636 |
|
|
b"100111110101010110",
|
2637 |
|
|
b"100111110011010010",
|
2638 |
|
|
b"100111110001001111",
|
2639 |
|
|
b"100111101111001100",
|
2640 |
|
|
b"100111101101001001",
|
2641 |
|
|
b"100111101011000110",
|
2642 |
|
|
b"100111101001000011",
|
2643 |
|
|
b"100111100111000001",
|
2644 |
|
|
b"100111100100111111",
|
2645 |
|
|
b"100111100010111101",
|
2646 |
|
|
b"100111100000111100",
|
2647 |
|
|
b"100111011110111010",
|
2648 |
|
|
b"100111011100111001",
|
2649 |
|
|
b"100111011010111000",
|
2650 |
|
|
b"100111011000111000",
|
2651 |
|
|
b"100111010110110111",
|
2652 |
|
|
b"100111010100110111",
|
2653 |
|
|
b"100111010010110111",
|
2654 |
|
|
b"100111010000111000",
|
2655 |
|
|
b"100111001110111000",
|
2656 |
|
|
b"100111001100111001",
|
2657 |
|
|
b"100111001010111010",
|
2658 |
|
|
b"100111001000111011",
|
2659 |
|
|
b"100111000110111101",
|
2660 |
|
|
b"100111000100111111",
|
2661 |
|
|
b"100111000011000001",
|
2662 |
|
|
b"100111000001000011",
|
2663 |
|
|
b"100110111111000101",
|
2664 |
|
|
b"100110111101001000",
|
2665 |
|
|
b"100110111011001011",
|
2666 |
|
|
b"100110111001001110",
|
2667 |
|
|
b"100110110111010010",
|
2668 |
|
|
b"100110110101010110",
|
2669 |
|
|
b"100110110011011001",
|
2670 |
|
|
b"100110110001011110",
|
2671 |
|
|
b"100110101111100010",
|
2672 |
|
|
b"100110101101100111",
|
2673 |
|
|
b"100110101011101100",
|
2674 |
|
|
b"100110101001110001",
|
2675 |
|
|
b"100110100111110110",
|
2676 |
|
|
b"100110100101111100",
|
2677 |
|
|
b"100110100100000010",
|
2678 |
|
|
b"100110100010001000",
|
2679 |
|
|
b"100110100000001110",
|
2680 |
|
|
b"100110011110010101",
|
2681 |
|
|
b"100110011100011100",
|
2682 |
|
|
b"100110011010100011",
|
2683 |
|
|
b"100110011000101010",
|
2684 |
|
|
b"100110010110110010",
|
2685 |
|
|
b"100110010100111010",
|
2686 |
|
|
b"100110010011000010",
|
2687 |
|
|
b"100110010001001010",
|
2688 |
|
|
b"100110001111010011",
|
2689 |
|
|
b"100110001101011100",
|
2690 |
|
|
b"100110001011100101",
|
2691 |
|
|
b"100110001001101110",
|
2692 |
|
|
b"100110000111111000",
|
2693 |
|
|
b"100110000110000001",
|
2694 |
|
|
b"100110000100001100",
|
2695 |
|
|
b"100110000010010110",
|
2696 |
|
|
b"100110000000100001",
|
2697 |
|
|
b"100101111110101011",
|
2698 |
|
|
b"100101111100110110",
|
2699 |
|
|
b"100101111011000010",
|
2700 |
|
|
b"100101111001001101",
|
2701 |
|
|
b"100101110111011001",
|
2702 |
|
|
b"100101110101100101",
|
2703 |
|
|
b"100101110011110010",
|
2704 |
|
|
b"100101110001111110",
|
2705 |
|
|
b"100101110000001011",
|
2706 |
|
|
b"100101101110011000",
|
2707 |
|
|
b"100101101100100110",
|
2708 |
|
|
b"100101101010110011",
|
2709 |
|
|
b"100101101001000001",
|
2710 |
|
|
b"100101100111001111",
|
2711 |
|
|
b"100101100101011110",
|
2712 |
|
|
b"100101100011101100",
|
2713 |
|
|
b"100101100001111011",
|
2714 |
|
|
b"100101100000001010",
|
2715 |
|
|
b"100101011110011010",
|
2716 |
|
|
b"100101011100101001",
|
2717 |
|
|
b"100101011010111001",
|
2718 |
|
|
b"100101011001001001",
|
2719 |
|
|
b"100101010111011010",
|
2720 |
|
|
b"100101010101101011",
|
2721 |
|
|
b"100101010011111011",
|
2722 |
|
|
b"100101010010001101",
|
2723 |
|
|
b"100101010000011110",
|
2724 |
|
|
b"100101001110110000",
|
2725 |
|
|
b"100101001101000010",
|
2726 |
|
|
b"100101001011010100",
|
2727 |
|
|
b"100101001001100111",
|
2728 |
|
|
b"100101000111111001",
|
2729 |
|
|
b"100101000110001100",
|
2730 |
|
|
b"100101000100100000",
|
2731 |
|
|
b"100101000010110011",
|
2732 |
|
|
b"100101000001000111",
|
2733 |
|
|
b"100100111111011011",
|
2734 |
|
|
b"100100111101101111",
|
2735 |
|
|
b"100100111100000100",
|
2736 |
|
|
b"100100111010011001",
|
2737 |
|
|
b"100100111000101110",
|
2738 |
|
|
b"100100110111000011",
|
2739 |
|
|
b"100100110101011001",
|
2740 |
|
|
b"100100110011101110",
|
2741 |
|
|
b"100100110010000101",
|
2742 |
|
|
b"100100110000011011",
|
2743 |
|
|
b"100100101110110010",
|
2744 |
|
|
b"100100101101001001",
|
2745 |
|
|
b"100100101011100000",
|
2746 |
|
|
b"100100101001110111",
|
2747 |
|
|
b"100100101000001111",
|
2748 |
|
|
b"100100100110100111",
|
2749 |
|
|
b"100100100100111111",
|
2750 |
|
|
b"100100100011011000",
|
2751 |
|
|
b"100100100001110000",
|
2752 |
|
|
b"100100100000001001",
|
2753 |
|
|
b"100100011110100011",
|
2754 |
|
|
b"100100011100111100",
|
2755 |
|
|
b"100100011011010110",
|
2756 |
|
|
b"100100011001110000",
|
2757 |
|
|
b"100100011000001011",
|
2758 |
|
|
b"100100010110100101",
|
2759 |
|
|
b"100100010101000000",
|
2760 |
|
|
b"100100010011011011",
|
2761 |
|
|
b"100100010001110111",
|
2762 |
|
|
b"100100010000010010",
|
2763 |
|
|
b"100100001110101110",
|
2764 |
|
|
b"100100001101001011",
|
2765 |
|
|
b"100100001011100111",
|
2766 |
|
|
b"100100001010000100",
|
2767 |
|
|
b"100100001000100001",
|
2768 |
|
|
b"100100000110111110",
|
2769 |
|
|
b"100100000101011100",
|
2770 |
|
|
b"100100000011111010",
|
2771 |
|
|
b"100100000010011000",
|
2772 |
|
|
b"100100000000110110",
|
2773 |
|
|
b"100011111111010101",
|
2774 |
|
|
b"100011111101110100",
|
2775 |
|
|
b"100011111100010011",
|
2776 |
|
|
b"100011111010110010",
|
2777 |
|
|
b"100011111001010010",
|
2778 |
|
|
b"100011110111110010",
|
2779 |
|
|
b"100011110110010010",
|
2780 |
|
|
b"100011110100110011",
|
2781 |
|
|
b"100011110011010100",
|
2782 |
|
|
b"100011110001110101",
|
2783 |
|
|
b"100011110000010110",
|
2784 |
|
|
b"100011101110111000",
|
2785 |
|
|
b"100011101101011010",
|
2786 |
|
|
b"100011101011111100",
|
2787 |
|
|
b"100011101010011110",
|
2788 |
|
|
b"100011101001000001",
|
2789 |
|
|
b"100011100111100100",
|
2790 |
|
|
b"100011100110000111",
|
2791 |
|
|
b"100011100100101011",
|
2792 |
|
|
b"100011100011001111",
|
2793 |
|
|
b"100011100001110011",
|
2794 |
|
|
b"100011100000010111",
|
2795 |
|
|
b"100011011110111100",
|
2796 |
|
|
b"100011011101100001",
|
2797 |
|
|
b"100011011100000110",
|
2798 |
|
|
b"100011011010101011",
|
2799 |
|
|
b"100011011001010001",
|
2800 |
|
|
b"100011010111110111",
|
2801 |
|
|
b"100011010110011101",
|
2802 |
|
|
b"100011010101000100",
|
2803 |
|
|
b"100011010011101011",
|
2804 |
|
|
b"100011010010010010",
|
2805 |
|
|
b"100011010000111001",
|
2806 |
|
|
b"100011001111100001",
|
2807 |
|
|
b"100011001110001001",
|
2808 |
|
|
b"100011001100110001",
|
2809 |
|
|
b"100011001011011010",
|
2810 |
|
|
b"100011001010000010",
|
2811 |
|
|
b"100011001000101011",
|
2812 |
|
|
b"100011000111010101",
|
2813 |
|
|
b"100011000101111110",
|
2814 |
|
|
b"100011000100101000",
|
2815 |
|
|
b"100011000011010010",
|
2816 |
|
|
b"100011000001111101",
|
2817 |
|
|
b"100011000000101000",
|
2818 |
|
|
b"100010111111010011",
|
2819 |
|
|
b"100010111101111110",
|
2820 |
|
|
b"100010111100101001",
|
2821 |
|
|
b"100010111011010101",
|
2822 |
|
|
b"100010111010000001",
|
2823 |
|
|
b"100010111000101110",
|
2824 |
|
|
b"100010110111011011",
|
2825 |
|
|
b"100010110110001000",
|
2826 |
|
|
b"100010110100110101",
|
2827 |
|
|
b"100010110011100010",
|
2828 |
|
|
b"100010110010010000",
|
2829 |
|
|
b"100010110000111110",
|
2830 |
|
|
b"100010101111101101",
|
2831 |
|
|
b"100010101110011011",
|
2832 |
|
|
b"100010101101001010",
|
2833 |
|
|
b"100010101011111001",
|
2834 |
|
|
b"100010101010101001",
|
2835 |
|
|
b"100010101001011001",
|
2836 |
|
|
b"100010101000001001",
|
2837 |
|
|
b"100010100110111001",
|
2838 |
|
|
b"100010100101101010",
|
2839 |
|
|
b"100010100100011011",
|
2840 |
|
|
b"100010100011001100",
|
2841 |
|
|
b"100010100001111101",
|
2842 |
|
|
b"100010100000101111",
|
2843 |
|
|
b"100010011111100001",
|
2844 |
|
|
b"100010011110010100",
|
2845 |
|
|
b"100010011101000110",
|
2846 |
|
|
b"100010011011111001",
|
2847 |
|
|
b"100010011010101100",
|
2848 |
|
|
b"100010011001100000",
|
2849 |
|
|
b"100010011000010100",
|
2850 |
|
|
b"100010010111001000",
|
2851 |
|
|
b"100010010101111100",
|
2852 |
|
|
b"100010010100110001",
|
2853 |
|
|
b"100010010011100110",
|
2854 |
|
|
b"100010010010011011",
|
2855 |
|
|
b"100010010001010000",
|
2856 |
|
|
b"100010010000000110",
|
2857 |
|
|
b"100010001110111100",
|
2858 |
|
|
b"100010001101110010",
|
2859 |
|
|
b"100010001100101001",
|
2860 |
|
|
b"100010001011100000",
|
2861 |
|
|
b"100010001010010111",
|
2862 |
|
|
b"100010001001001111",
|
2863 |
|
|
b"100010001000000110",
|
2864 |
|
|
b"100010000110111111",
|
2865 |
|
|
b"100010000101110111",
|
2866 |
|
|
b"100010000100110000",
|
2867 |
|
|
b"100010000011101000",
|
2868 |
|
|
b"100010000010100010",
|
2869 |
|
|
b"100010000001011011",
|
2870 |
|
|
b"100010000000010101",
|
2871 |
|
|
b"100001111111001111",
|
2872 |
|
|
b"100001111110001010",
|
2873 |
|
|
b"100001111101000100",
|
2874 |
|
|
b"100001111011111111",
|
2875 |
|
|
b"100001111010111010",
|
2876 |
|
|
b"100001111001110110",
|
2877 |
|
|
b"100001111000110010",
|
2878 |
|
|
b"100001110111101110",
|
2879 |
|
|
b"100001110110101010",
|
2880 |
|
|
b"100001110101100111",
|
2881 |
|
|
b"100001110100100100",
|
2882 |
|
|
b"100001110011100001",
|
2883 |
|
|
b"100001110010011111",
|
2884 |
|
|
b"100001110001011101",
|
2885 |
|
|
b"100001110000011011",
|
2886 |
|
|
b"100001101111011001",
|
2887 |
|
|
b"100001101110011000",
|
2888 |
|
|
b"100001101101010111",
|
2889 |
|
|
b"100001101100010110",
|
2890 |
|
|
b"100001101011010110",
|
2891 |
|
|
b"100001101010010110",
|
2892 |
|
|
b"100001101001010110",
|
2893 |
|
|
b"100001101000010111",
|
2894 |
|
|
b"100001100111010111",
|
2895 |
|
|
b"100001100110011000",
|
2896 |
|
|
b"100001100101011010",
|
2897 |
|
|
b"100001100100011100",
|
2898 |
|
|
b"100001100011011101",
|
2899 |
|
|
b"100001100010100000",
|
2900 |
|
|
b"100001100001100010",
|
2901 |
|
|
b"100001100000100101",
|
2902 |
|
|
b"100001011111101000",
|
2903 |
|
|
b"100001011110101100",
|
2904 |
|
|
b"100001011101101111",
|
2905 |
|
|
b"100001011100110011",
|
2906 |
|
|
b"100001011011111000",
|
2907 |
|
|
b"100001011010111100",
|
2908 |
|
|
b"100001011010000001",
|
2909 |
|
|
b"100001011001000110",
|
2910 |
|
|
b"100001011000001100",
|
2911 |
|
|
b"100001010111010010",
|
2912 |
|
|
b"100001010110011000",
|
2913 |
|
|
b"100001010101011110",
|
2914 |
|
|
b"100001010100100101",
|
2915 |
|
|
b"100001010011101100",
|
2916 |
|
|
b"100001010010110011",
|
2917 |
|
|
b"100001010001111011",
|
2918 |
|
|
b"100001010001000010",
|
2919 |
|
|
b"100001010000001011",
|
2920 |
|
|
b"100001001111010011",
|
2921 |
|
|
b"100001001110011100",
|
2922 |
|
|
b"100001001101100101",
|
2923 |
|
|
b"100001001100101110",
|
2924 |
|
|
b"100001001011111000",
|
2925 |
|
|
b"100001001011000010",
|
2926 |
|
|
b"100001001010001100",
|
2927 |
|
|
b"100001001001010110",
|
2928 |
|
|
b"100001001000100001",
|
2929 |
|
|
b"100001000111101100",
|
2930 |
|
|
b"100001000110111000",
|
2931 |
|
|
b"100001000110000011",
|
2932 |
|
|
b"100001000101010000",
|
2933 |
|
|
b"100001000100011100",
|
2934 |
|
|
b"100001000011101000",
|
2935 |
|
|
b"100001000010110101",
|
2936 |
|
|
b"100001000010000011",
|
2937 |
|
|
b"100001000001010000",
|
2938 |
|
|
b"100001000000011110",
|
2939 |
|
|
b"100000111111101100",
|
2940 |
|
|
b"100000111110111010",
|
2941 |
|
|
b"100000111110001001",
|
2942 |
|
|
b"100000111101011000",
|
2943 |
|
|
b"100000111100100111",
|
2944 |
|
|
b"100000111011110111",
|
2945 |
|
|
b"100000111011000111",
|
2946 |
|
|
b"100000111010010111",
|
2947 |
|
|
b"100000111001101000",
|
2948 |
|
|
b"100000111000111000",
|
2949 |
|
|
b"100000111000001001",
|
2950 |
|
|
b"100000110111011011",
|
2951 |
|
|
b"100000110110101100",
|
2952 |
|
|
b"100000110101111110",
|
2953 |
|
|
b"100000110101010001",
|
2954 |
|
|
b"100000110100100011",
|
2955 |
|
|
b"100000110011110110",
|
2956 |
|
|
b"100000110011001001",
|
2957 |
|
|
b"100000110010011101",
|
2958 |
|
|
b"100000110001110001",
|
2959 |
|
|
b"100000110001000101",
|
2960 |
|
|
b"100000110000011001",
|
2961 |
|
|
b"100000101111101110",
|
2962 |
|
|
b"100000101111000011",
|
2963 |
|
|
b"100000101110011000",
|
2964 |
|
|
b"100000101101101110",
|
2965 |
|
|
b"100000101101000100",
|
2966 |
|
|
b"100000101100011010",
|
2967 |
|
|
b"100000101011110000",
|
2968 |
|
|
b"100000101011000111",
|
2969 |
|
|
b"100000101010011110",
|
2970 |
|
|
b"100000101001110110",
|
2971 |
|
|
b"100000101001001110",
|
2972 |
|
|
b"100000101000100110",
|
2973 |
|
|
b"100000100111111110",
|
2974 |
|
|
b"100000100111010110",
|
2975 |
|
|
b"100000100110101111",
|
2976 |
|
|
b"100000100110001001",
|
2977 |
|
|
b"100000100101100010",
|
2978 |
|
|
b"100000100100111100",
|
2979 |
|
|
b"100000100100010110",
|
2980 |
|
|
b"100000100011110001",
|
2981 |
|
|
b"100000100011001011",
|
2982 |
|
|
b"100000100010100110",
|
2983 |
|
|
b"100000100010000010",
|
2984 |
|
|
b"100000100001011101",
|
2985 |
|
|
b"100000100000111001",
|
2986 |
|
|
b"100000100000010110",
|
2987 |
|
|
b"100000011111110010",
|
2988 |
|
|
b"100000011111001111",
|
2989 |
|
|
b"100000011110101100",
|
2990 |
|
|
b"100000011110001010",
|
2991 |
|
|
b"100000011101100111",
|
2992 |
|
|
b"100000011101000110",
|
2993 |
|
|
b"100000011100100100",
|
2994 |
|
|
b"100000011100000011",
|
2995 |
|
|
b"100000011011100010",
|
2996 |
|
|
b"100000011011000001",
|
2997 |
|
|
b"100000011010100001",
|
2998 |
|
|
b"100000011010000000",
|
2999 |
|
|
b"100000011001100001",
|
3000 |
|
|
b"100000011001000001",
|
3001 |
|
|
b"100000011000100010",
|
3002 |
|
|
b"100000011000000011",
|
3003 |
|
|
b"100000010111100101",
|
3004 |
|
|
b"100000010111000110",
|
3005 |
|
|
b"100000010110101000",
|
3006 |
|
|
b"100000010110001011",
|
3007 |
|
|
b"100000010101101101",
|
3008 |
|
|
b"100000010101010000",
|
3009 |
|
|
b"100000010100110100",
|
3010 |
|
|
b"100000010100010111",
|
3011 |
|
|
b"100000010011111011",
|
3012 |
|
|
b"100000010011011111",
|
3013 |
|
|
b"100000010011000100",
|
3014 |
|
|
b"100000010010101000",
|
3015 |
|
|
b"100000010010001101",
|
3016 |
|
|
b"100000010001110011",
|
3017 |
|
|
b"100000010001011001",
|
3018 |
|
|
b"100000010000111111",
|
3019 |
|
|
b"100000010000100101",
|
3020 |
|
|
b"100000010000001100",
|
3021 |
|
|
b"100000001111110010",
|
3022 |
|
|
b"100000001111011010",
|
3023 |
|
|
b"100000001111000001",
|
3024 |
|
|
b"100000001110101001",
|
3025 |
|
|
b"100000001110010001",
|
3026 |
|
|
b"100000001101111010",
|
3027 |
|
|
b"100000001101100010",
|
3028 |
|
|
b"100000001101001100",
|
3029 |
|
|
b"100000001100110101",
|
3030 |
|
|
b"100000001100011111",
|
3031 |
|
|
b"100000001100001001",
|
3032 |
|
|
b"100000001011110011",
|
3033 |
|
|
b"100000001011011110",
|
3034 |
|
|
b"100000001011001000",
|
3035 |
|
|
b"100000001010110100",
|
3036 |
|
|
b"100000001010011111",
|
3037 |
|
|
b"100000001010001011",
|
3038 |
|
|
b"100000001001110111",
|
3039 |
|
|
b"100000001001100100",
|
3040 |
|
|
b"100000001001010000",
|
3041 |
|
|
b"100000001000111101",
|
3042 |
|
|
b"100000001000101011",
|
3043 |
|
|
b"100000001000011000",
|
3044 |
|
|
b"100000001000000110",
|
3045 |
|
|
b"100000000111110101",
|
3046 |
|
|
b"100000000111100011",
|
3047 |
|
|
b"100000000111010010",
|
3048 |
|
|
b"100000000111000001",
|
3049 |
|
|
b"100000000110110001",
|
3050 |
|
|
b"100000000110100001",
|
3051 |
|
|
b"100000000110010001",
|
3052 |
|
|
b"100000000110000001",
|
3053 |
|
|
b"100000000101110010",
|
3054 |
|
|
b"100000000101100011",
|
3055 |
|
|
b"100000000101010101",
|
3056 |
|
|
b"100000000101000110",
|
3057 |
|
|
b"100000000100111000",
|
3058 |
|
|
b"100000000100101010",
|
3059 |
|
|
b"100000000100011101",
|
3060 |
|
|
b"100000000100010000",
|
3061 |
|
|
b"100000000100000011",
|
3062 |
|
|
b"100000000011110111",
|
3063 |
|
|
b"100000000011101010",
|
3064 |
|
|
b"100000000011011111",
|
3065 |
|
|
b"100000000011010011",
|
3066 |
|
|
b"100000000011001000",
|
3067 |
|
|
b"100000000010111101",
|
3068 |
|
|
b"100000000010110010",
|
3069 |
|
|
b"100000000010101000",
|
3070 |
|
|
b"100000000010011110",
|
3071 |
|
|
b"100000000010010100",
|
3072 |
|
|
b"100000000010001011",
|
3073 |
|
|
b"100000000010000010",
|
3074 |
|
|
b"100000000001111001",
|
3075 |
|
|
b"100000000001110000",
|
3076 |
|
|
b"100000000001101000",
|
3077 |
|
|
b"100000000001100000",
|
3078 |
|
|
b"100000000001011001",
|
3079 |
|
|
b"100000000001010010",
|
3080 |
|
|
b"100000000001001011",
|
3081 |
|
|
b"100000000001000100",
|
3082 |
|
|
b"100000000000111110",
|
3083 |
|
|
b"100000000000111000",
|
3084 |
|
|
b"100000000000110010",
|
3085 |
|
|
b"100000000000101101",
|
3086 |
|
|
b"100000000000100111",
|
3087 |
|
|
b"100000000000100011",
|
3088 |
|
|
b"100000000000011110",
|
3089 |
|
|
b"100000000000011010",
|
3090 |
|
|
b"100000000000010110",
|
3091 |
|
|
b"100000000000010011",
|
3092 |
|
|
b"100000000000001111",
|
3093 |
|
|
b"100000000000001100",
|
3094 |
|
|
b"100000000000001010",
|
3095 |
|
|
b"100000000000001000",
|
3096 |
|
|
b"100000000000000110",
|
3097 |
|
|
b"100000000000000100",
|
3098 |
|
|
b"100000000000000010",
|
3099 |
|
|
b"100000000000000001",
|
3100 |
|
|
b"100000000000000001",
|
3101 |
|
|
b"100000000000000000",
|
3102 |
|
|
b"100000000000000000",
|
3103 |
|
|
b"100000000000000000",
|
3104 |
|
|
b"100000000000000001",
|
3105 |
|
|
b"100000000000000001",
|
3106 |
|
|
b"100000000000000010",
|
3107 |
|
|
b"100000000000000100",
|
3108 |
|
|
b"100000000000000110",
|
3109 |
|
|
b"100000000000001000",
|
3110 |
|
|
b"100000000000001010",
|
3111 |
|
|
b"100000000000001100",
|
3112 |
|
|
b"100000000000001111",
|
3113 |
|
|
b"100000000000010011",
|
3114 |
|
|
b"100000000000010110",
|
3115 |
|
|
b"100000000000011010",
|
3116 |
|
|
b"100000000000011110",
|
3117 |
|
|
b"100000000000100011",
|
3118 |
|
|
b"100000000000100111",
|
3119 |
|
|
b"100000000000101101",
|
3120 |
|
|
b"100000000000110010",
|
3121 |
|
|
b"100000000000111000",
|
3122 |
|
|
b"100000000000111110",
|
3123 |
|
|
b"100000000001000100",
|
3124 |
|
|
b"100000000001001011",
|
3125 |
|
|
b"100000000001010010",
|
3126 |
|
|
b"100000000001011001",
|
3127 |
|
|
b"100000000001100000",
|
3128 |
|
|
b"100000000001101000",
|
3129 |
|
|
b"100000000001110000",
|
3130 |
|
|
b"100000000001111001",
|
3131 |
|
|
b"100000000010000010",
|
3132 |
|
|
b"100000000010001011",
|
3133 |
|
|
b"100000000010010100",
|
3134 |
|
|
b"100000000010011110",
|
3135 |
|
|
b"100000000010101000",
|
3136 |
|
|
b"100000000010110010",
|
3137 |
|
|
b"100000000010111101",
|
3138 |
|
|
b"100000000011001000",
|
3139 |
|
|
b"100000000011010011",
|
3140 |
|
|
b"100000000011011111",
|
3141 |
|
|
b"100000000011101010",
|
3142 |
|
|
b"100000000011110111",
|
3143 |
|
|
b"100000000100000011",
|
3144 |
|
|
b"100000000100010000",
|
3145 |
|
|
b"100000000100011101",
|
3146 |
|
|
b"100000000100101010",
|
3147 |
|
|
b"100000000100111000",
|
3148 |
|
|
b"100000000101000110",
|
3149 |
|
|
b"100000000101010101",
|
3150 |
|
|
b"100000000101100011",
|
3151 |
|
|
b"100000000101110010",
|
3152 |
|
|
b"100000000110000001",
|
3153 |
|
|
b"100000000110010001",
|
3154 |
|
|
b"100000000110100001",
|
3155 |
|
|
b"100000000110110001",
|
3156 |
|
|
b"100000000111000001",
|
3157 |
|
|
b"100000000111010010",
|
3158 |
|
|
b"100000000111100011",
|
3159 |
|
|
b"100000000111110101",
|
3160 |
|
|
b"100000001000000110",
|
3161 |
|
|
b"100000001000011000",
|
3162 |
|
|
b"100000001000101011",
|
3163 |
|
|
b"100000001000111101",
|
3164 |
|
|
b"100000001001010000",
|
3165 |
|
|
b"100000001001100100",
|
3166 |
|
|
b"100000001001110111",
|
3167 |
|
|
b"100000001010001011",
|
3168 |
|
|
b"100000001010011111",
|
3169 |
|
|
b"100000001010110100",
|
3170 |
|
|
b"100000001011001000",
|
3171 |
|
|
b"100000001011011110",
|
3172 |
|
|
b"100000001011110011",
|
3173 |
|
|
b"100000001100001001",
|
3174 |
|
|
b"100000001100011111",
|
3175 |
|
|
b"100000001100110101",
|
3176 |
|
|
b"100000001101001100",
|
3177 |
|
|
b"100000001101100010",
|
3178 |
|
|
b"100000001101111010",
|
3179 |
|
|
b"100000001110010001",
|
3180 |
|
|
b"100000001110101001",
|
3181 |
|
|
b"100000001111000001",
|
3182 |
|
|
b"100000001111011010",
|
3183 |
|
|
b"100000001111110010",
|
3184 |
|
|
b"100000010000001100",
|
3185 |
|
|
b"100000010000100101",
|
3186 |
|
|
b"100000010000111111",
|
3187 |
|
|
b"100000010001011001",
|
3188 |
|
|
b"100000010001110011",
|
3189 |
|
|
b"100000010010001101",
|
3190 |
|
|
b"100000010010101000",
|
3191 |
|
|
b"100000010011000100",
|
3192 |
|
|
b"100000010011011111",
|
3193 |
|
|
b"100000010011111011",
|
3194 |
|
|
b"100000010100010111",
|
3195 |
|
|
b"100000010100110100",
|
3196 |
|
|
b"100000010101010000",
|
3197 |
|
|
b"100000010101101101",
|
3198 |
|
|
b"100000010110001011",
|
3199 |
|
|
b"100000010110101000",
|
3200 |
|
|
b"100000010111000110",
|
3201 |
|
|
b"100000010111100101",
|
3202 |
|
|
b"100000011000000011",
|
3203 |
|
|
b"100000011000100010",
|
3204 |
|
|
b"100000011001000001",
|
3205 |
|
|
b"100000011001100001",
|
3206 |
|
|
b"100000011010000000",
|
3207 |
|
|
b"100000011010100001",
|
3208 |
|
|
b"100000011011000001",
|
3209 |
|
|
b"100000011011100010",
|
3210 |
|
|
b"100000011100000011",
|
3211 |
|
|
b"100000011100100100",
|
3212 |
|
|
b"100000011101000110",
|
3213 |
|
|
b"100000011101100111",
|
3214 |
|
|
b"100000011110001010",
|
3215 |
|
|
b"100000011110101100",
|
3216 |
|
|
b"100000011111001111",
|
3217 |
|
|
b"100000011111110010",
|
3218 |
|
|
b"100000100000010110",
|
3219 |
|
|
b"100000100000111001",
|
3220 |
|
|
b"100000100001011101",
|
3221 |
|
|
b"100000100010000010",
|
3222 |
|
|
b"100000100010100110",
|
3223 |
|
|
b"100000100011001011",
|
3224 |
|
|
b"100000100011110001",
|
3225 |
|
|
b"100000100100010110",
|
3226 |
|
|
b"100000100100111100",
|
3227 |
|
|
b"100000100101100010",
|
3228 |
|
|
b"100000100110001001",
|
3229 |
|
|
b"100000100110101111",
|
3230 |
|
|
b"100000100111010110",
|
3231 |
|
|
b"100000100111111110",
|
3232 |
|
|
b"100000101000100110",
|
3233 |
|
|
b"100000101001001110",
|
3234 |
|
|
b"100000101001110110",
|
3235 |
|
|
b"100000101010011110",
|
3236 |
|
|
b"100000101011000111",
|
3237 |
|
|
b"100000101011110000",
|
3238 |
|
|
b"100000101100011010",
|
3239 |
|
|
b"100000101101000100",
|
3240 |
|
|
b"100000101101101110",
|
3241 |
|
|
b"100000101110011000",
|
3242 |
|
|
b"100000101111000011",
|
3243 |
|
|
b"100000101111101110",
|
3244 |
|
|
b"100000110000011001",
|
3245 |
|
|
b"100000110001000101",
|
3246 |
|
|
b"100000110001110001",
|
3247 |
|
|
b"100000110010011101",
|
3248 |
|
|
b"100000110011001001",
|
3249 |
|
|
b"100000110011110110",
|
3250 |
|
|
b"100000110100100011",
|
3251 |
|
|
b"100000110101010001",
|
3252 |
|
|
b"100000110101111110",
|
3253 |
|
|
b"100000110110101100",
|
3254 |
|
|
b"100000110111011011",
|
3255 |
|
|
b"100000111000001001",
|
3256 |
|
|
b"100000111000111000",
|
3257 |
|
|
b"100000111001101000",
|
3258 |
|
|
b"100000111010010111",
|
3259 |
|
|
b"100000111011000111",
|
3260 |
|
|
b"100000111011110111",
|
3261 |
|
|
b"100000111100100111",
|
3262 |
|
|
b"100000111101011000",
|
3263 |
|
|
b"100000111110001001",
|
3264 |
|
|
b"100000111110111010",
|
3265 |
|
|
b"100000111111101100",
|
3266 |
|
|
b"100001000000011110",
|
3267 |
|
|
b"100001000001010000",
|
3268 |
|
|
b"100001000010000011",
|
3269 |
|
|
b"100001000010110101",
|
3270 |
|
|
b"100001000011101000",
|
3271 |
|
|
b"100001000100011100",
|
3272 |
|
|
b"100001000101010000",
|
3273 |
|
|
b"100001000110000011",
|
3274 |
|
|
b"100001000110111000",
|
3275 |
|
|
b"100001000111101100",
|
3276 |
|
|
b"100001001000100001",
|
3277 |
|
|
b"100001001001010110",
|
3278 |
|
|
b"100001001010001100",
|
3279 |
|
|
b"100001001011000010",
|
3280 |
|
|
b"100001001011111000",
|
3281 |
|
|
b"100001001100101110",
|
3282 |
|
|
b"100001001101100101",
|
3283 |
|
|
b"100001001110011100",
|
3284 |
|
|
b"100001001111010011",
|
3285 |
|
|
b"100001010000001011",
|
3286 |
|
|
b"100001010001000010",
|
3287 |
|
|
b"100001010001111011",
|
3288 |
|
|
b"100001010010110011",
|
3289 |
|
|
b"100001010011101100",
|
3290 |
|
|
b"100001010100100101",
|
3291 |
|
|
b"100001010101011110",
|
3292 |
|
|
b"100001010110011000",
|
3293 |
|
|
b"100001010111010010",
|
3294 |
|
|
b"100001011000001100",
|
3295 |
|
|
b"100001011001000110",
|
3296 |
|
|
b"100001011010000001",
|
3297 |
|
|
b"100001011010111100",
|
3298 |
|
|
b"100001011011111000",
|
3299 |
|
|
b"100001011100110011",
|
3300 |
|
|
b"100001011101101111",
|
3301 |
|
|
b"100001011110101100",
|
3302 |
|
|
b"100001011111101000",
|
3303 |
|
|
b"100001100000100101",
|
3304 |
|
|
b"100001100001100010",
|
3305 |
|
|
b"100001100010100000",
|
3306 |
|
|
b"100001100011011101",
|
3307 |
|
|
b"100001100100011100",
|
3308 |
|
|
b"100001100101011010",
|
3309 |
|
|
b"100001100110011000",
|
3310 |
|
|
b"100001100111010111",
|
3311 |
|
|
b"100001101000010111",
|
3312 |
|
|
b"100001101001010110",
|
3313 |
|
|
b"100001101010010110",
|
3314 |
|
|
b"100001101011010110",
|
3315 |
|
|
b"100001101100010110",
|
3316 |
|
|
b"100001101101010111",
|
3317 |
|
|
b"100001101110011000",
|
3318 |
|
|
b"100001101111011001",
|
3319 |
|
|
b"100001110000011011",
|
3320 |
|
|
b"100001110001011101",
|
3321 |
|
|
b"100001110010011111",
|
3322 |
|
|
b"100001110011100001",
|
3323 |
|
|
b"100001110100100100",
|
3324 |
|
|
b"100001110101100111",
|
3325 |
|
|
b"100001110110101010",
|
3326 |
|
|
b"100001110111101110",
|
3327 |
|
|
b"100001111000110010",
|
3328 |
|
|
b"100001111001110110",
|
3329 |
|
|
b"100001111010111010",
|
3330 |
|
|
b"100001111011111111",
|
3331 |
|
|
b"100001111101000100",
|
3332 |
|
|
b"100001111110001010",
|
3333 |
|
|
b"100001111111001111",
|
3334 |
|
|
b"100010000000010101",
|
3335 |
|
|
b"100010000001011011",
|
3336 |
|
|
b"100010000010100010",
|
3337 |
|
|
b"100010000011101000",
|
3338 |
|
|
b"100010000100110000",
|
3339 |
|
|
b"100010000101110111",
|
3340 |
|
|
b"100010000110111111",
|
3341 |
|
|
b"100010001000000110",
|
3342 |
|
|
b"100010001001001111",
|
3343 |
|
|
b"100010001010010111",
|
3344 |
|
|
b"100010001011100000",
|
3345 |
|
|
b"100010001100101001",
|
3346 |
|
|
b"100010001101110010",
|
3347 |
|
|
b"100010001110111100",
|
3348 |
|
|
b"100010010000000110",
|
3349 |
|
|
b"100010010001010000",
|
3350 |
|
|
b"100010010010011011",
|
3351 |
|
|
b"100010010011100110",
|
3352 |
|
|
b"100010010100110001",
|
3353 |
|
|
b"100010010101111100",
|
3354 |
|
|
b"100010010111001000",
|
3355 |
|
|
b"100010011000010100",
|
3356 |
|
|
b"100010011001100000",
|
3357 |
|
|
b"100010011010101100",
|
3358 |
|
|
b"100010011011111001",
|
3359 |
|
|
b"100010011101000110",
|
3360 |
|
|
b"100010011110010100",
|
3361 |
|
|
b"100010011111100001",
|
3362 |
|
|
b"100010100000101111",
|
3363 |
|
|
b"100010100001111101",
|
3364 |
|
|
b"100010100011001100",
|
3365 |
|
|
b"100010100100011011",
|
3366 |
|
|
b"100010100101101010",
|
3367 |
|
|
b"100010100110111001",
|
3368 |
|
|
b"100010101000001001",
|
3369 |
|
|
b"100010101001011001",
|
3370 |
|
|
b"100010101010101001",
|
3371 |
|
|
b"100010101011111001",
|
3372 |
|
|
b"100010101101001010",
|
3373 |
|
|
b"100010101110011011",
|
3374 |
|
|
b"100010101111101101",
|
3375 |
|
|
b"100010110000111110",
|
3376 |
|
|
b"100010110010010000",
|
3377 |
|
|
b"100010110011100010",
|
3378 |
|
|
b"100010110100110101",
|
3379 |
|
|
b"100010110110001000",
|
3380 |
|
|
b"100010110111011011",
|
3381 |
|
|
b"100010111000101110",
|
3382 |
|
|
b"100010111010000001",
|
3383 |
|
|
b"100010111011010101",
|
3384 |
|
|
b"100010111100101001",
|
3385 |
|
|
b"100010111101111110",
|
3386 |
|
|
b"100010111111010011",
|
3387 |
|
|
b"100011000000101000",
|
3388 |
|
|
b"100011000001111101",
|
3389 |
|
|
b"100011000011010010",
|
3390 |
|
|
b"100011000100101000",
|
3391 |
|
|
b"100011000101111110",
|
3392 |
|
|
b"100011000111010101",
|
3393 |
|
|
b"100011001000101011",
|
3394 |
|
|
b"100011001010000010",
|
3395 |
|
|
b"100011001011011010",
|
3396 |
|
|
b"100011001100110001",
|
3397 |
|
|
b"100011001110001001",
|
3398 |
|
|
b"100011001111100001",
|
3399 |
|
|
b"100011010000111001",
|
3400 |
|
|
b"100011010010010010",
|
3401 |
|
|
b"100011010011101011",
|
3402 |
|
|
b"100011010101000100",
|
3403 |
|
|
b"100011010110011101",
|
3404 |
|
|
b"100011010111110111",
|
3405 |
|
|
b"100011011001010001",
|
3406 |
|
|
b"100011011010101011",
|
3407 |
|
|
b"100011011100000110",
|
3408 |
|
|
b"100011011101100001",
|
3409 |
|
|
b"100011011110111100",
|
3410 |
|
|
b"100011100000010111",
|
3411 |
|
|
b"100011100001110011",
|
3412 |
|
|
b"100011100011001111",
|
3413 |
|
|
b"100011100100101011",
|
3414 |
|
|
b"100011100110000111",
|
3415 |
|
|
b"100011100111100100",
|
3416 |
|
|
b"100011101001000001",
|
3417 |
|
|
b"100011101010011110",
|
3418 |
|
|
b"100011101011111100",
|
3419 |
|
|
b"100011101101011010",
|
3420 |
|
|
b"100011101110111000",
|
3421 |
|
|
b"100011110000010110",
|
3422 |
|
|
b"100011110001110101",
|
3423 |
|
|
b"100011110011010100",
|
3424 |
|
|
b"100011110100110011",
|
3425 |
|
|
b"100011110110010010",
|
3426 |
|
|
b"100011110111110010",
|
3427 |
|
|
b"100011111001010010",
|
3428 |
|
|
b"100011111010110010",
|
3429 |
|
|
b"100011111100010011",
|
3430 |
|
|
b"100011111101110100",
|
3431 |
|
|
b"100011111111010101",
|
3432 |
|
|
b"100100000000110110",
|
3433 |
|
|
b"100100000010011000",
|
3434 |
|
|
b"100100000011111010",
|
3435 |
|
|
b"100100000101011100",
|
3436 |
|
|
b"100100000110111110",
|
3437 |
|
|
b"100100001000100001",
|
3438 |
|
|
b"100100001010000100",
|
3439 |
|
|
b"100100001011100111",
|
3440 |
|
|
b"100100001101001011",
|
3441 |
|
|
b"100100001110101110",
|
3442 |
|
|
b"100100010000010010",
|
3443 |
|
|
b"100100010001110111",
|
3444 |
|
|
b"100100010011011011",
|
3445 |
|
|
b"100100010101000000",
|
3446 |
|
|
b"100100010110100101",
|
3447 |
|
|
b"100100011000001011",
|
3448 |
|
|
b"100100011001110000",
|
3449 |
|
|
b"100100011011010110",
|
3450 |
|
|
b"100100011100111100",
|
3451 |
|
|
b"100100011110100011",
|
3452 |
|
|
b"100100100000001001",
|
3453 |
|
|
b"100100100001110000",
|
3454 |
|
|
b"100100100011011000",
|
3455 |
|
|
b"100100100100111111",
|
3456 |
|
|
b"100100100110100111",
|
3457 |
|
|
b"100100101000001111",
|
3458 |
|
|
b"100100101001110111",
|
3459 |
|
|
b"100100101011100000",
|
3460 |
|
|
b"100100101101001001",
|
3461 |
|
|
b"100100101110110010",
|
3462 |
|
|
b"100100110000011011",
|
3463 |
|
|
b"100100110010000101",
|
3464 |
|
|
b"100100110011101110",
|
3465 |
|
|
b"100100110101011001",
|
3466 |
|
|
b"100100110111000011",
|
3467 |
|
|
b"100100111000101110",
|
3468 |
|
|
b"100100111010011001",
|
3469 |
|
|
b"100100111100000100",
|
3470 |
|
|
b"100100111101101111",
|
3471 |
|
|
b"100100111111011011",
|
3472 |
|
|
b"100101000001000111",
|
3473 |
|
|
b"100101000010110011",
|
3474 |
|
|
b"100101000100100000",
|
3475 |
|
|
b"100101000110001100",
|
3476 |
|
|
b"100101000111111001",
|
3477 |
|
|
b"100101001001100111",
|
3478 |
|
|
b"100101001011010100",
|
3479 |
|
|
b"100101001101000010",
|
3480 |
|
|
b"100101001110110000",
|
3481 |
|
|
b"100101010000011110",
|
3482 |
|
|
b"100101010010001101",
|
3483 |
|
|
b"100101010011111011",
|
3484 |
|
|
b"100101010101101011",
|
3485 |
|
|
b"100101010111011010",
|
3486 |
|
|
b"100101011001001001",
|
3487 |
|
|
b"100101011010111001",
|
3488 |
|
|
b"100101011100101001",
|
3489 |
|
|
b"100101011110011010",
|
3490 |
|
|
b"100101100000001010",
|
3491 |
|
|
b"100101100001111011",
|
3492 |
|
|
b"100101100011101100",
|
3493 |
|
|
b"100101100101011110",
|
3494 |
|
|
b"100101100111001111",
|
3495 |
|
|
b"100101101001000001",
|
3496 |
|
|
b"100101101010110011",
|
3497 |
|
|
b"100101101100100110",
|
3498 |
|
|
b"100101101110011000",
|
3499 |
|
|
b"100101110000001011",
|
3500 |
|
|
b"100101110001111110",
|
3501 |
|
|
b"100101110011110010",
|
3502 |
|
|
b"100101110101100101",
|
3503 |
|
|
b"100101110111011001",
|
3504 |
|
|
b"100101111001001101",
|
3505 |
|
|
b"100101111011000010",
|
3506 |
|
|
b"100101111100110110",
|
3507 |
|
|
b"100101111110101011",
|
3508 |
|
|
b"100110000000100001",
|
3509 |
|
|
b"100110000010010110",
|
3510 |
|
|
b"100110000100001100",
|
3511 |
|
|
b"100110000110000001",
|
3512 |
|
|
b"100110000111111000",
|
3513 |
|
|
b"100110001001101110",
|
3514 |
|
|
b"100110001011100101",
|
3515 |
|
|
b"100110001101011100",
|
3516 |
|
|
b"100110001111010011",
|
3517 |
|
|
b"100110010001001010",
|
3518 |
|
|
b"100110010011000010",
|
3519 |
|
|
b"100110010100111010",
|
3520 |
|
|
b"100110010110110010",
|
3521 |
|
|
b"100110011000101010",
|
3522 |
|
|
b"100110011010100011",
|
3523 |
|
|
b"100110011100011100",
|
3524 |
|
|
b"100110011110010101",
|
3525 |
|
|
b"100110100000001110",
|
3526 |
|
|
b"100110100010001000",
|
3527 |
|
|
b"100110100100000010",
|
3528 |
|
|
b"100110100101111100",
|
3529 |
|
|
b"100110100111110110",
|
3530 |
|
|
b"100110101001110001",
|
3531 |
|
|
b"100110101011101100",
|
3532 |
|
|
b"100110101101100111",
|
3533 |
|
|
b"100110101111100010",
|
3534 |
|
|
b"100110110001011110",
|
3535 |
|
|
b"100110110011011001",
|
3536 |
|
|
b"100110110101010110",
|
3537 |
|
|
b"100110110111010010",
|
3538 |
|
|
b"100110111001001110",
|
3539 |
|
|
b"100110111011001011",
|
3540 |
|
|
b"100110111101001000",
|
3541 |
|
|
b"100110111111000101",
|
3542 |
|
|
b"100111000001000011",
|
3543 |
|
|
b"100111000011000001",
|
3544 |
|
|
b"100111000100111111",
|
3545 |
|
|
b"100111000110111101",
|
3546 |
|
|
b"100111001000111011",
|
3547 |
|
|
b"100111001010111010",
|
3548 |
|
|
b"100111001100111001",
|
3549 |
|
|
b"100111001110111000",
|
3550 |
|
|
b"100111010000111000",
|
3551 |
|
|
b"100111010010110111",
|
3552 |
|
|
b"100111010100110111",
|
3553 |
|
|
b"100111010110110111",
|
3554 |
|
|
b"100111011000111000",
|
3555 |
|
|
b"100111011010111000",
|
3556 |
|
|
b"100111011100111001",
|
3557 |
|
|
b"100111011110111010",
|
3558 |
|
|
b"100111100000111100",
|
3559 |
|
|
b"100111100010111101",
|
3560 |
|
|
b"100111100100111111",
|
3561 |
|
|
b"100111100111000001",
|
3562 |
|
|
b"100111101001000011",
|
3563 |
|
|
b"100111101011000110",
|
3564 |
|
|
b"100111101101001001",
|
3565 |
|
|
b"100111101111001100",
|
3566 |
|
|
b"100111110001001111",
|
3567 |
|
|
b"100111110011010010",
|
3568 |
|
|
b"100111110101010110",
|
3569 |
|
|
b"100111110111011010",
|
3570 |
|
|
b"100111111001011110",
|
3571 |
|
|
b"100111111011100010",
|
3572 |
|
|
b"100111111101100111",
|
3573 |
|
|
b"100111111111101100",
|
3574 |
|
|
b"101000000001110001",
|
3575 |
|
|
b"101000000011110110",
|
3576 |
|
|
b"101000000101111100",
|
3577 |
|
|
b"101000001000000010",
|
3578 |
|
|
b"101000001010001000",
|
3579 |
|
|
b"101000001100001110",
|
3580 |
|
|
b"101000001110010100",
|
3581 |
|
|
b"101000010000011011",
|
3582 |
|
|
b"101000010010100010",
|
3583 |
|
|
b"101000010100101001",
|
3584 |
|
|
b"101000010110110000",
|
3585 |
|
|
b"101000011000111000",
|
3586 |
|
|
b"101000011011000000",
|
3587 |
|
|
b"101000011101001000",
|
3588 |
|
|
b"101000011111010000",
|
3589 |
|
|
b"101000100001011001",
|
3590 |
|
|
b"101000100011100001",
|
3591 |
|
|
b"101000100101101010",
|
3592 |
|
|
b"101000100111110011",
|
3593 |
|
|
b"101000101001111101",
|
3594 |
|
|
b"101000101100000110",
|
3595 |
|
|
b"101000101110010000",
|
3596 |
|
|
b"101000110000011010",
|
3597 |
|
|
b"101000110010100101",
|
3598 |
|
|
b"101000110100101111",
|
3599 |
|
|
b"101000110110111010",
|
3600 |
|
|
b"101000111001000101",
|
3601 |
|
|
b"101000111011010000",
|
3602 |
|
|
b"101000111101011100",
|
3603 |
|
|
b"101000111111100111",
|
3604 |
|
|
b"101001000001110011",
|
3605 |
|
|
b"101001000011111111",
|
3606 |
|
|
b"101001000110001011",
|
3607 |
|
|
b"101001001000011000",
|
3608 |
|
|
b"101001001010100101",
|
3609 |
|
|
b"101001001100110010",
|
3610 |
|
|
b"101001001110111111",
|
3611 |
|
|
b"101001010001001100",
|
3612 |
|
|
b"101001010011011010",
|
3613 |
|
|
b"101001010101101000",
|
3614 |
|
|
b"101001010111110110",
|
3615 |
|
|
b"101001011010000100",
|
3616 |
|
|
b"101001011100010011",
|
3617 |
|
|
b"101001011110100001",
|
3618 |
|
|
b"101001100000110000",
|
3619 |
|
|
b"101001100010111111",
|
3620 |
|
|
b"101001100101001111",
|
3621 |
|
|
b"101001100111011110",
|
3622 |
|
|
b"101001101001101110",
|
3623 |
|
|
b"101001101011111110",
|
3624 |
|
|
b"101001101110001110",
|
3625 |
|
|
b"101001110000011111",
|
3626 |
|
|
b"101001110010101111",
|
3627 |
|
|
b"101001110101000000",
|
3628 |
|
|
b"101001110111010001",
|
3629 |
|
|
b"101001111001100011",
|
3630 |
|
|
b"101001111011110100",
|
3631 |
|
|
b"101001111110000110",
|
3632 |
|
|
b"101010000000011000",
|
3633 |
|
|
b"101010000010101010",
|
3634 |
|
|
b"101010000100111100",
|
3635 |
|
|
b"101010000111001111",
|
3636 |
|
|
b"101010001001100010",
|
3637 |
|
|
b"101010001011110101",
|
3638 |
|
|
b"101010001110001000",
|
3639 |
|
|
b"101010010000011011",
|
3640 |
|
|
b"101010010010101111",
|
3641 |
|
|
b"101010010101000011",
|
3642 |
|
|
b"101010010111010111",
|
3643 |
|
|
b"101010011001101011",
|
3644 |
|
|
b"101010011100000000",
|
3645 |
|
|
b"101010011110010100",
|
3646 |
|
|
b"101010100000101001",
|
3647 |
|
|
b"101010100010111110",
|
3648 |
|
|
b"101010100101010011",
|
3649 |
|
|
b"101010100111101001",
|
3650 |
|
|
b"101010101001111111",
|
3651 |
|
|
b"101010101100010101",
|
3652 |
|
|
b"101010101110101011",
|
3653 |
|
|
b"101010110001000001",
|
3654 |
|
|
b"101010110011011000",
|
3655 |
|
|
b"101010110101101110",
|
3656 |
|
|
b"101010111000000101",
|
3657 |
|
|
b"101010111010011100",
|
3658 |
|
|
b"101010111100110100",
|
3659 |
|
|
b"101010111111001011",
|
3660 |
|
|
b"101011000001100011",
|
3661 |
|
|
b"101011000011111011",
|
3662 |
|
|
b"101011000110010011",
|
3663 |
|
|
b"101011001000101011",
|
3664 |
|
|
b"101011001011000100",
|
3665 |
|
|
b"101011001101011101",
|
3666 |
|
|
b"101011001111110110",
|
3667 |
|
|
b"101011010010001111",
|
3668 |
|
|
b"101011010100101000",
|
3669 |
|
|
b"101011010111000010",
|
3670 |
|
|
b"101011011001011011",
|
3671 |
|
|
b"101011011011110101",
|
3672 |
|
|
b"101011011110001111",
|
3673 |
|
|
b"101011100000101010",
|
3674 |
|
|
b"101011100011000100",
|
3675 |
|
|
b"101011100101011111",
|
3676 |
|
|
b"101011100111111010",
|
3677 |
|
|
b"101011101010010101",
|
3678 |
|
|
b"101011101100110000",
|
3679 |
|
|
b"101011101111001100",
|
3680 |
|
|
b"101011110001101000",
|
3681 |
|
|
b"101011110100000100",
|
3682 |
|
|
b"101011110110100000",
|
3683 |
|
|
b"101011111000111100",
|
3684 |
|
|
b"101011111011011000",
|
3685 |
|
|
b"101011111101110101",
|
3686 |
|
|
b"101100000000010010",
|
3687 |
|
|
b"101100000010101111",
|
3688 |
|
|
b"101100000101001100",
|
3689 |
|
|
b"101100000111101010",
|
3690 |
|
|
b"101100001010000111",
|
3691 |
|
|
b"101100001100100101",
|
3692 |
|
|
b"101100001111000011",
|
3693 |
|
|
b"101100010001100010",
|
3694 |
|
|
b"101100010100000000",
|
3695 |
|
|
b"101100010110011111",
|
3696 |
|
|
b"101100011000111101",
|
3697 |
|
|
b"101100011011011100",
|
3698 |
|
|
b"101100011101111100",
|
3699 |
|
|
b"101100100000011011",
|
3700 |
|
|
b"101100100010111010",
|
3701 |
|
|
b"101100100101011010",
|
3702 |
|
|
b"101100100111111010",
|
3703 |
|
|
b"101100101010011010",
|
3704 |
|
|
b"101100101100111010",
|
3705 |
|
|
b"101100101111011011",
|
3706 |
|
|
b"101100110001111100",
|
3707 |
|
|
b"101100110100011100",
|
3708 |
|
|
b"101100110110111101",
|
3709 |
|
|
b"101100111001011111",
|
3710 |
|
|
b"101100111100000000",
|
3711 |
|
|
b"101100111110100010",
|
3712 |
|
|
b"101101000001000011",
|
3713 |
|
|
b"101101000011100101",
|
3714 |
|
|
b"101101000110001000",
|
3715 |
|
|
b"101101001000101010",
|
3716 |
|
|
b"101101001011001100",
|
3717 |
|
|
b"101101001101101111",
|
3718 |
|
|
b"101101010000010010",
|
3719 |
|
|
b"101101010010110101",
|
3720 |
|
|
b"101101010101011000",
|
3721 |
|
|
b"101101010111111100",
|
3722 |
|
|
b"101101011010011111",
|
3723 |
|
|
b"101101011101000011",
|
3724 |
|
|
b"101101011111100111",
|
3725 |
|
|
b"101101100010001011",
|
3726 |
|
|
b"101101100100101111",
|
3727 |
|
|
b"101101100111010100",
|
3728 |
|
|
b"101101101001111000",
|
3729 |
|
|
b"101101101100011101",
|
3730 |
|
|
b"101101101111000010",
|
3731 |
|
|
b"101101110001100111",
|
3732 |
|
|
b"101101110100001101",
|
3733 |
|
|
b"101101110110110010",
|
3734 |
|
|
b"101101111001011000",
|
3735 |
|
|
b"101101111011111110",
|
3736 |
|
|
b"101101111110100100",
|
3737 |
|
|
b"101110000001001010",
|
3738 |
|
|
b"101110000011110001",
|
3739 |
|
|
b"101110000110010111",
|
3740 |
|
|
b"101110001000111110",
|
3741 |
|
|
b"101110001011100101",
|
3742 |
|
|
b"101110001110001100",
|
3743 |
|
|
b"101110010000110011",
|
3744 |
|
|
b"101110010011011011",
|
3745 |
|
|
b"101110010110000010",
|
3746 |
|
|
b"101110011000101010",
|
3747 |
|
|
b"101110011011010010",
|
3748 |
|
|
b"101110011101111010",
|
3749 |
|
|
b"101110100000100010",
|
3750 |
|
|
b"101110100011001011",
|
3751 |
|
|
b"101110100101110011",
|
3752 |
|
|
b"101110101000011100",
|
3753 |
|
|
b"101110101011000101",
|
3754 |
|
|
b"101110101101101110",
|
3755 |
|
|
b"101110110000010111",
|
3756 |
|
|
b"101110110011000001",
|
3757 |
|
|
b"101110110101101011",
|
3758 |
|
|
b"101110111000010100",
|
3759 |
|
|
b"101110111010111110",
|
3760 |
|
|
b"101110111101101000",
|
3761 |
|
|
b"101111000000010011",
|
3762 |
|
|
b"101111000010111101",
|
3763 |
|
|
b"101111000101101000",
|
3764 |
|
|
b"101111001000010010",
|
3765 |
|
|
b"101111001010111101",
|
3766 |
|
|
b"101111001101101001",
|
3767 |
|
|
b"101111010000010100",
|
3768 |
|
|
b"101111010010111111",
|
3769 |
|
|
b"101111010101101011",
|
3770 |
|
|
b"101111011000010110",
|
3771 |
|
|
b"101111011011000010",
|
3772 |
|
|
b"101111011101101110",
|
3773 |
|
|
b"101111100000011011",
|
3774 |
|
|
b"101111100011000111",
|
3775 |
|
|
b"101111100101110100",
|
3776 |
|
|
b"101111101000100000",
|
3777 |
|
|
b"101111101011001101",
|
3778 |
|
|
b"101111101101111010",
|
3779 |
|
|
b"101111110000100111",
|
3780 |
|
|
b"101111110011010101",
|
3781 |
|
|
b"101111110110000010",
|
3782 |
|
|
b"101111111000110000",
|
3783 |
|
|
b"101111111011011110",
|
3784 |
|
|
b"101111111110001011",
|
3785 |
|
|
b"110000000000111010",
|
3786 |
|
|
b"110000000011101000",
|
3787 |
|
|
b"110000000110010110",
|
3788 |
|
|
b"110000001001000101",
|
3789 |
|
|
b"110000001011110011",
|
3790 |
|
|
b"110000001110100010",
|
3791 |
|
|
b"110000010001010001",
|
3792 |
|
|
b"110000010100000001",
|
3793 |
|
|
b"110000010110110000",
|
3794 |
|
|
b"110000011001011111",
|
3795 |
|
|
b"110000011100001111",
|
3796 |
|
|
b"110000011110111111",
|
3797 |
|
|
b"110000100001101111",
|
3798 |
|
|
b"110000100100011111",
|
3799 |
|
|
b"110000100111001111",
|
3800 |
|
|
b"110000101001111111",
|
3801 |
|
|
b"110000101100110000",
|
3802 |
|
|
b"110000101111100000",
|
3803 |
|
|
b"110000110010010001",
|
3804 |
|
|
b"110000110101000010",
|
3805 |
|
|
b"110000110111110011",
|
3806 |
|
|
b"110000111010100101",
|
3807 |
|
|
b"110000111101010110",
|
3808 |
|
|
b"110001000000000111",
|
3809 |
|
|
b"110001000010111001",
|
3810 |
|
|
b"110001000101101011",
|
3811 |
|
|
b"110001001000011101",
|
3812 |
|
|
b"110001001011001111",
|
3813 |
|
|
b"110001001110000001",
|
3814 |
|
|
b"110001010000110100",
|
3815 |
|
|
b"110001010011100110",
|
3816 |
|
|
b"110001010110011001",
|
3817 |
|
|
b"110001011001001100",
|
3818 |
|
|
b"110001011011111111",
|
3819 |
|
|
b"110001011110110010",
|
3820 |
|
|
b"110001100001100101",
|
3821 |
|
|
b"110001100100011000",
|
3822 |
|
|
b"110001100111001100",
|
3823 |
|
|
b"110001101010000000",
|
3824 |
|
|
b"110001101100110011",
|
3825 |
|
|
b"110001101111100111",
|
3826 |
|
|
b"110001110010011011",
|
3827 |
|
|
b"110001110101010000",
|
3828 |
|
|
b"110001111000000100",
|
3829 |
|
|
b"110001111010111001",
|
3830 |
|
|
b"110001111101101101",
|
3831 |
|
|
b"110010000000100010",
|
3832 |
|
|
b"110010000011010111",
|
3833 |
|
|
b"110010000110001100",
|
3834 |
|
|
b"110010001001000001",
|
3835 |
|
|
b"110010001011110110",
|
3836 |
|
|
b"110010001110101100",
|
3837 |
|
|
b"110010010001100001",
|
3838 |
|
|
b"110010010100010111",
|
3839 |
|
|
b"110010010111001101",
|
3840 |
|
|
b"110010011010000011",
|
3841 |
|
|
b"110010011100111001",
|
3842 |
|
|
b"110010011111101111",
|
3843 |
|
|
b"110010100010100101",
|
3844 |
|
|
b"110010100101011100",
|
3845 |
|
|
b"110010101000010010",
|
3846 |
|
|
b"110010101011001001",
|
3847 |
|
|
b"110010101110000000",
|
3848 |
|
|
b"110010110000110111",
|
3849 |
|
|
b"110010110011101110",
|
3850 |
|
|
b"110010110110100101",
|
3851 |
|
|
b"110010111001011101",
|
3852 |
|
|
b"110010111100010100",
|
3853 |
|
|
b"110010111111001100",
|
3854 |
|
|
b"110011000010000100",
|
3855 |
|
|
b"110011000100111011",
|
3856 |
|
|
b"110011000111110011",
|
3857 |
|
|
b"110011001010101100",
|
3858 |
|
|
b"110011001101100100",
|
3859 |
|
|
b"110011010000011100",
|
3860 |
|
|
b"110011010011010101",
|
3861 |
|
|
b"110011010110001101",
|
3862 |
|
|
b"110011011001000110",
|
3863 |
|
|
b"110011011011111111",
|
3864 |
|
|
b"110011011110111000",
|
3865 |
|
|
b"110011100001110001",
|
3866 |
|
|
b"110011100100101010",
|
3867 |
|
|
b"110011100111100100",
|
3868 |
|
|
b"110011101010011101",
|
3869 |
|
|
b"110011101101010111",
|
3870 |
|
|
b"110011110000010000",
|
3871 |
|
|
b"110011110011001010",
|
3872 |
|
|
b"110011110110000100",
|
3873 |
|
|
b"110011111000111110",
|
3874 |
|
|
b"110011111011111000",
|
3875 |
|
|
b"110011111110110011",
|
3876 |
|
|
b"110100000001101101",
|
3877 |
|
|
b"110100000100100111",
|
3878 |
|
|
b"110100000111100010",
|
3879 |
|
|
b"110100001010011101",
|
3880 |
|
|
b"110100001101011000",
|
3881 |
|
|
b"110100010000010011",
|
3882 |
|
|
b"110100010011001110",
|
3883 |
|
|
b"110100010110001001",
|
3884 |
|
|
b"110100011001000100",
|
3885 |
|
|
b"110100011100000000",
|
3886 |
|
|
b"110100011110111011",
|
3887 |
|
|
b"110100100001110111",
|
3888 |
|
|
b"110100100100110011",
|
3889 |
|
|
b"110100100111101110",
|
3890 |
|
|
b"110100101010101010",
|
3891 |
|
|
b"110100101101100111",
|
3892 |
|
|
b"110100110000100011",
|
3893 |
|
|
b"110100110011011111",
|
3894 |
|
|
b"110100110110011011",
|
3895 |
|
|
b"110100111001011000",
|
3896 |
|
|
b"110100111100010101",
|
3897 |
|
|
b"110100111111010001",
|
3898 |
|
|
b"110101000010001110",
|
3899 |
|
|
b"110101000101001011",
|
3900 |
|
|
b"110101001000001000",
|
3901 |
|
|
b"110101001011000101",
|
3902 |
|
|
b"110101001110000011",
|
3903 |
|
|
b"110101010001000000",
|
3904 |
|
|
b"110101010011111101",
|
3905 |
|
|
b"110101010110111011",
|
3906 |
|
|
b"110101011001111001",
|
3907 |
|
|
b"110101011100110110",
|
3908 |
|
|
b"110101011111110100",
|
3909 |
|
|
b"110101100010110010",
|
3910 |
|
|
b"110101100101110000",
|
3911 |
|
|
b"110101101000101110",
|
3912 |
|
|
b"110101101011101101",
|
3913 |
|
|
b"110101101110101011",
|
3914 |
|
|
b"110101110001101010",
|
3915 |
|
|
b"110101110100101000",
|
3916 |
|
|
b"110101110111100111",
|
3917 |
|
|
b"110101111010100110",
|
3918 |
|
|
b"110101111101100100",
|
3919 |
|
|
b"110110000000100011",
|
3920 |
|
|
b"110110000011100010",
|
3921 |
|
|
b"110110000110100010",
|
3922 |
|
|
b"110110001001100001",
|
3923 |
|
|
b"110110001100100000",
|
3924 |
|
|
b"110110001111100000",
|
3925 |
|
|
b"110110010010011111",
|
3926 |
|
|
b"110110010101011111",
|
3927 |
|
|
b"110110011000011110",
|
3928 |
|
|
b"110110011011011110",
|
3929 |
|
|
b"110110011110011110",
|
3930 |
|
|
b"110110100001011110",
|
3931 |
|
|
b"110110100100011110",
|
3932 |
|
|
b"110110100111011110",
|
3933 |
|
|
b"110110101010011111",
|
3934 |
|
|
b"110110101101011111",
|
3935 |
|
|
b"110110110000100000",
|
3936 |
|
|
b"110110110011100000",
|
3937 |
|
|
b"110110110110100001",
|
3938 |
|
|
b"110110111001100001",
|
3939 |
|
|
b"110110111100100010",
|
3940 |
|
|
b"110110111111100011",
|
3941 |
|
|
b"110111000010100100",
|
3942 |
|
|
b"110111000101100101",
|
3943 |
|
|
b"110111001000100110",
|
3944 |
|
|
b"110111001011101000",
|
3945 |
|
|
b"110111001110101001",
|
3946 |
|
|
b"110111010001101010",
|
3947 |
|
|
b"110111010100101100",
|
3948 |
|
|
b"110111010111101101",
|
3949 |
|
|
b"110111011010101111",
|
3950 |
|
|
b"110111011101110001",
|
3951 |
|
|
b"110111100000110011",
|
3952 |
|
|
b"110111100011110100",
|
3953 |
|
|
b"110111100110110110",
|
3954 |
|
|
b"110111101001111000",
|
3955 |
|
|
b"110111101100111011",
|
3956 |
|
|
b"110111101111111101",
|
3957 |
|
|
b"110111110010111111",
|
3958 |
|
|
b"110111110110000010",
|
3959 |
|
|
b"110111111001000100",
|
3960 |
|
|
b"110111111100000111",
|
3961 |
|
|
b"110111111111001001",
|
3962 |
|
|
b"111000000010001100",
|
3963 |
|
|
b"111000000101001111",
|
3964 |
|
|
b"111000001000010001",
|
3965 |
|
|
b"111000001011010100",
|
3966 |
|
|
b"111000001110010111",
|
3967 |
|
|
b"111000010001011010",
|
3968 |
|
|
b"111000010100011110",
|
3969 |
|
|
b"111000010111100001",
|
3970 |
|
|
b"111000011010100100",
|
3971 |
|
|
b"111000011101100111",
|
3972 |
|
|
b"111000100000101011",
|
3973 |
|
|
b"111000100011101110",
|
3974 |
|
|
b"111000100110110010",
|
3975 |
|
|
b"111000101001110110",
|
3976 |
|
|
b"111000101100111001",
|
3977 |
|
|
b"111000101111111101",
|
3978 |
|
|
b"111000110011000001",
|
3979 |
|
|
b"111000110110000101",
|
3980 |
|
|
b"111000111001001001",
|
3981 |
|
|
b"111000111100001101",
|
3982 |
|
|
b"111000111111010001",
|
3983 |
|
|
b"111001000010010101",
|
3984 |
|
|
b"111001000101011010",
|
3985 |
|
|
b"111001001000011110",
|
3986 |
|
|
b"111001001011100010",
|
3987 |
|
|
b"111001001110100111",
|
3988 |
|
|
b"111001010001101011",
|
3989 |
|
|
b"111001010100110000",
|
3990 |
|
|
b"111001010111110101",
|
3991 |
|
|
b"111001011010111001",
|
3992 |
|
|
b"111001011101111110",
|
3993 |
|
|
b"111001100001000011",
|
3994 |
|
|
b"111001100100001000",
|
3995 |
|
|
b"111001100111001101",
|
3996 |
|
|
b"111001101010010010",
|
3997 |
|
|
b"111001101101010111",
|
3998 |
|
|
b"111001110000011100",
|
3999 |
|
|
b"111001110011100010",
|
4000 |
|
|
b"111001110110100111",
|
4001 |
|
|
b"111001111001101100",
|
4002 |
|
|
b"111001111100110010",
|
4003 |
|
|
b"111001111111110111",
|
4004 |
|
|
b"111010000010111101",
|
4005 |
|
|
b"111010000110000010",
|
4006 |
|
|
b"111010001001001000",
|
4007 |
|
|
b"111010001100001101",
|
4008 |
|
|
b"111010001111010011",
|
4009 |
|
|
b"111010010010011001",
|
4010 |
|
|
b"111010010101011111",
|
4011 |
|
|
b"111010011000100101",
|
4012 |
|
|
b"111010011011101011",
|
4013 |
|
|
b"111010011110110001",
|
4014 |
|
|
b"111010100001110111",
|
4015 |
|
|
b"111010100100111101",
|
4016 |
|
|
b"111010101000000011",
|
4017 |
|
|
b"111010101011001001",
|
4018 |
|
|
b"111010101110010000",
|
4019 |
|
|
b"111010110001010110",
|
4020 |
|
|
b"111010110100011100",
|
4021 |
|
|
b"111010110111100011",
|
4022 |
|
|
b"111010111010101001",
|
4023 |
|
|
b"111010111101110000",
|
4024 |
|
|
b"111011000000110110",
|
4025 |
|
|
b"111011000011111101",
|
4026 |
|
|
b"111011000111000100",
|
4027 |
|
|
b"111011001010001010",
|
4028 |
|
|
b"111011001101010001",
|
4029 |
|
|
b"111011010000011000",
|
4030 |
|
|
b"111011010011011111",
|
4031 |
|
|
b"111011010110100110",
|
4032 |
|
|
b"111011011001101101",
|
4033 |
|
|
b"111011011100110100",
|
4034 |
|
|
b"111011011111111011",
|
4035 |
|
|
b"111011100011000010",
|
4036 |
|
|
b"111011100110001001",
|
4037 |
|
|
b"111011101001010000",
|
4038 |
|
|
b"111011101100010111",
|
4039 |
|
|
b"111011101111011111",
|
4040 |
|
|
b"111011110010100110",
|
4041 |
|
|
b"111011110101101101",
|
4042 |
|
|
b"111011111000110101",
|
4043 |
|
|
b"111011111011111100",
|
4044 |
|
|
b"111011111111000011",
|
4045 |
|
|
b"111100000010001011",
|
4046 |
|
|
b"111100000101010011",
|
4047 |
|
|
b"111100001000011010",
|
4048 |
|
|
b"111100001011100010",
|
4049 |
|
|
b"111100001110101001",
|
4050 |
|
|
b"111100010001110001",
|
4051 |
|
|
b"111100010100111001",
|
4052 |
|
|
b"111100011000000000",
|
4053 |
|
|
b"111100011011001000",
|
4054 |
|
|
b"111100011110010000",
|
4055 |
|
|
b"111100100001011000",
|
4056 |
|
|
b"111100100100100000",
|
4057 |
|
|
b"111100100111101000",
|
4058 |
|
|
b"111100101010110000",
|
4059 |
|
|
b"111100101101111000",
|
4060 |
|
|
b"111100110001000000",
|
4061 |
|
|
b"111100110100001000",
|
4062 |
|
|
b"111100110111010000",
|
4063 |
|
|
b"111100111010011000",
|
4064 |
|
|
b"111100111101100000",
|
4065 |
|
|
b"111101000000101000",
|
4066 |
|
|
b"111101000011110000",
|
4067 |
|
|
b"111101000110111001",
|
4068 |
|
|
b"111101001010000001",
|
4069 |
|
|
b"111101001101001001",
|
4070 |
|
|
b"111101010000010001",
|
4071 |
|
|
b"111101010011011010",
|
4072 |
|
|
b"111101010110100010",
|
4073 |
|
|
b"111101011001101011",
|
4074 |
|
|
b"111101011100110011",
|
4075 |
|
|
b"111101011111111011",
|
4076 |
|
|
b"111101100011000100",
|
4077 |
|
|
b"111101100110001100",
|
4078 |
|
|
b"111101101001010101",
|
4079 |
|
|
b"111101101100011101",
|
4080 |
|
|
b"111101101111100110",
|
4081 |
|
|
b"111101110010101110",
|
4082 |
|
|
b"111101110101110111",
|
4083 |
|
|
b"111101111001000000",
|
4084 |
|
|
b"111101111100001000",
|
4085 |
|
|
b"111101111111010001",
|
4086 |
|
|
b"111110000010011010",
|
4087 |
|
|
b"111110000101100010",
|
4088 |
|
|
b"111110001000101011",
|
4089 |
|
|
b"111110001011110100",
|
4090 |
|
|
b"111110001110111101",
|
4091 |
|
|
b"111110010010000101",
|
4092 |
|
|
b"111110010101001110",
|
4093 |
|
|
b"111110011000010111",
|
4094 |
|
|
b"111110011011100000",
|
4095 |
|
|
b"111110011110101000",
|
4096 |
|
|
b"111110100001110001",
|
4097 |
|
|
b"111110100100111010",
|
4098 |
|
|
b"111110101000000011",
|
4099 |
|
|
b"111110101011001100",
|
4100 |
|
|
b"111110101110010101",
|
4101 |
|
|
b"111110110001011110",
|
4102 |
|
|
b"111110110100100111",
|
4103 |
|
|
b"111110110111110000",
|
4104 |
|
|
b"111110111010111001",
|
4105 |
|
|
b"111110111110000001",
|
4106 |
|
|
b"111111000001001010",
|
4107 |
|
|
b"111111000100010011",
|
4108 |
|
|
b"111111000111011100",
|
4109 |
|
|
b"111111001010100101",
|
4110 |
|
|
b"111111001101101110",
|
4111 |
|
|
b"111111010000110111",
|
4112 |
|
|
b"111111010100000000",
|
4113 |
|
|
b"111111010111001001",
|
4114 |
|
|
b"111111011010010010",
|
4115 |
|
|
b"111111011101011011",
|
4116 |
|
|
b"111111100000100100",
|
4117 |
|
|
b"111111100011101110",
|
4118 |
|
|
b"111111100110110111",
|
4119 |
|
|
b"111111101010000000",
|
4120 |
|
|
b"111111101101001001",
|
4121 |
|
|
b"111111110000010010",
|
4122 |
|
|
b"111111110011011011",
|
4123 |
|
|
b"111111110110100100",
|
4124 |
|
|
b"111111111001101101",
|
4125 |
|
|
b"111111111100110110"
|
4126 |
|
|
);
|
4127 |
|
|
|
4128 |
|
|
constant wMap: wMapTyp :=
|
4129 |
|
|
(
|
4130 |
|
|
(1,1,1,1,1,1,1,1,1,1,1,1),
|
4131 |
|
|
(2,3,5,9,17,33,65,129,257,513,1025,1),
|
4132 |
|
|
(3,5,9,17,33,65,129,257,513,1025,1,1),
|
4133 |
|
|
(4,7,13,25,49,97,193,385,769,1537,1025,1),
|
4134 |
|
|
(5,9,17,33,65,129,257,513,1025,1,1,1),
|
4135 |
|
|
(6,11,21,41,81,161,321,641,1281,513,1025,1),
|
4136 |
|
|
(7,13,25,49,97,193,385,769,1537,1025,1,1),
|
4137 |
|
|
(8,15,29,57,113,225,449,897,1793,1537,1025,1),
|
4138 |
|
|
(9,17,33,65,129,257,513,1025,1,1,1,1),
|
4139 |
|
|
(10,19,37,73,145,289,577,1153,257,513,1025,1),
|
4140 |
|
|
(11,21,41,81,161,321,641,1281,513,1025,1,1),
|
4141 |
|
|
(12,23,45,89,177,353,705,1409,769,1537,1025,1),
|
4142 |
|
|
(13,25,49,97,193,385,769,1537,1025,1,1,1),
|
4143 |
|
|
(14,27,53,105,209,417,833,1665,1281,513,1025,1),
|
4144 |
|
|
(15,29,57,113,225,449,897,1793,1537,1025,1,1),
|
4145 |
|
|
(16,31,61,121,241,481,961,1921,1793,1537,1025,1),
|
4146 |
|
|
(17,33,65,129,257,513,1025,1,1,1,1,1),
|
4147 |
|
|
(18,35,69,137,273,545,1089,129,257,513,1025,1),
|
4148 |
|
|
(19,37,73,145,289,577,1153,257,513,1025,1,1),
|
4149 |
|
|
(20,39,77,153,305,609,1217,385,769,1537,1025,1),
|
4150 |
|
|
(21,41,81,161,321,641,1281,513,1025,1,1,1),
|
4151 |
|
|
(22,43,85,169,337,673,1345,641,1281,513,1025,1),
|
4152 |
|
|
(23,45,89,177,353,705,1409,769,1537,1025,1,1),
|
4153 |
|
|
(24,47,93,185,369,737,1473,897,1793,1537,1025,1),
|
4154 |
|
|
(25,49,97,193,385,769,1537,1025,1,1,1,1),
|
4155 |
|
|
(26,51,101,201,401,801,1601,1153,257,513,1025,1),
|
4156 |
|
|
(27,53,105,209,417,833,1665,1281,513,1025,1,1),
|
4157 |
|
|
(28,55,109,217,433,865,1729,1409,769,1537,1025,1),
|
4158 |
|
|
(29,57,113,225,449,897,1793,1537,1025,1,1,1),
|
4159 |
|
|
(30,59,117,233,465,929,1857,1665,1281,513,1025,1),
|
4160 |
|
|
(31,61,121,241,481,961,1921,1793,1537,1025,1,1),
|
4161 |
|
|
(32,63,125,249,497,993,1985,1921,1793,1537,1025,1),
|
4162 |
|
|
(33,65,129,257,513,1025,1,1,1,1,1,1),
|
4163 |
|
|
(34,67,133,265,529,1057,65,129,257,513,1025,1),
|
4164 |
|
|
(35,69,137,273,545,1089,129,257,513,1025,1,1),
|
4165 |
|
|
(36,71,141,281,561,1121,193,385,769,1537,1025,1),
|
4166 |
|
|
(37,73,145,289,577,1153,257,513,1025,1,1,1),
|
4167 |
|
|
(38,75,149,297,593,1185,321,641,1281,513,1025,1),
|
4168 |
|
|
(39,77,153,305,609,1217,385,769,1537,1025,1,1),
|
4169 |
|
|
(40,79,157,313,625,1249,449,897,1793,1537,1025,1),
|
4170 |
|
|
(41,81,161,321,641,1281,513,1025,1,1,1,1),
|
4171 |
|
|
(42,83,165,329,657,1313,577,1153,257,513,1025,1),
|
4172 |
|
|
(43,85,169,337,673,1345,641,1281,513,1025,1,1),
|
4173 |
|
|
(44,87,173,345,689,1377,705,1409,769,1537,1025,1),
|
4174 |
|
|
(45,89,177,353,705,1409,769,1537,1025,1,1,1),
|
4175 |
|
|
(46,91,181,361,721,1441,833,1665,1281,513,1025,1),
|
4176 |
|
|
(47,93,185,369,737,1473,897,1793,1537,1025,1,1),
|
4177 |
|
|
(48,95,189,377,753,1505,961,1921,1793,1537,1025,1),
|
4178 |
|
|
(49,97,193,385,769,1537,1025,1,1,1,1,1),
|
4179 |
|
|
(50,99,197,393,785,1569,1089,129,257,513,1025,1),
|
4180 |
|
|
(51,101,201,401,801,1601,1153,257,513,1025,1,1),
|
4181 |
|
|
(52,103,205,409,817,1633,1217,385,769,1537,1025,1),
|
4182 |
|
|
(53,105,209,417,833,1665,1281,513,1025,1,1,1),
|
4183 |
|
|
(54,107,213,425,849,1697,1345,641,1281,513,1025,1),
|
4184 |
|
|
(55,109,217,433,865,1729,1409,769,1537,1025,1,1),
|
4185 |
|
|
(56,111,221,441,881,1761,1473,897,1793,1537,1025,1),
|
4186 |
|
|
(57,113,225,449,897,1793,1537,1025,1,1,1,1),
|
4187 |
|
|
(58,115,229,457,913,1825,1601,1153,257,513,1025,1),
|
4188 |
|
|
(59,117,233,465,929,1857,1665,1281,513,1025,1,1),
|
4189 |
|
|
(60,119,237,473,945,1889,1729,1409,769,1537,1025,1),
|
4190 |
|
|
(61,121,241,481,961,1921,1793,1537,1025,1,1,1),
|
4191 |
|
|
(62,123,245,489,977,1953,1857,1665,1281,513,1025,1),
|
4192 |
|
|
(63,125,249,497,993,1985,1921,1793,1537,1025,1,1),
|
4193 |
|
|
(64,127,253,505,1009,2017,1985,1921,1793,1537,1025,1),
|
4194 |
|
|
(65,129,257,513,1025,1,1,1,1,1,1,1),
|
4195 |
|
|
(66,131,261,521,1041,33,65,129,257,513,1025,1),
|
4196 |
|
|
(67,133,265,529,1057,65,129,257,513,1025,1,1),
|
4197 |
|
|
(68,135,269,537,1073,97,193,385,769,1537,1025,1),
|
4198 |
|
|
(69,137,273,545,1089,129,257,513,1025,1,1,1),
|
4199 |
|
|
(70,139,277,553,1105,161,321,641,1281,513,1025,1),
|
4200 |
|
|
(71,141,281,561,1121,193,385,769,1537,1025,1,1),
|
4201 |
|
|
(72,143,285,569,1137,225,449,897,1793,1537,1025,1),
|
4202 |
|
|
(73,145,289,577,1153,257,513,1025,1,1,1,1),
|
4203 |
|
|
(74,147,293,585,1169,289,577,1153,257,513,1025,1),
|
4204 |
|
|
(75,149,297,593,1185,321,641,1281,513,1025,1,1),
|
4205 |
|
|
(76,151,301,601,1201,353,705,1409,769,1537,1025,1),
|
4206 |
|
|
(77,153,305,609,1217,385,769,1537,1025,1,1,1),
|
4207 |
|
|
(78,155,309,617,1233,417,833,1665,1281,513,1025,1),
|
4208 |
|
|
(79,157,313,625,1249,449,897,1793,1537,1025,1,1),
|
4209 |
|
|
(80,159,317,633,1265,481,961,1921,1793,1537,1025,1),
|
4210 |
|
|
(81,161,321,641,1281,513,1025,1,1,1,1,1),
|
4211 |
|
|
(82,163,325,649,1297,545,1089,129,257,513,1025,1),
|
4212 |
|
|
(83,165,329,657,1313,577,1153,257,513,1025,1,1),
|
4213 |
|
|
(84,167,333,665,1329,609,1217,385,769,1537,1025,1),
|
4214 |
|
|
(85,169,337,673,1345,641,1281,513,1025,1,1,1),
|
4215 |
|
|
(86,171,341,681,1361,673,1345,641,1281,513,1025,1),
|
4216 |
|
|
(87,173,345,689,1377,705,1409,769,1537,1025,1,1),
|
4217 |
|
|
(88,175,349,697,1393,737,1473,897,1793,1537,1025,1),
|
4218 |
|
|
(89,177,353,705,1409,769,1537,1025,1,1,1,1),
|
4219 |
|
|
(90,179,357,713,1425,801,1601,1153,257,513,1025,1),
|
4220 |
|
|
(91,181,361,721,1441,833,1665,1281,513,1025,1,1),
|
4221 |
|
|
(92,183,365,729,1457,865,1729,1409,769,1537,1025,1),
|
4222 |
|
|
(93,185,369,737,1473,897,1793,1537,1025,1,1,1),
|
4223 |
|
|
(94,187,373,745,1489,929,1857,1665,1281,513,1025,1),
|
4224 |
|
|
(95,189,377,753,1505,961,1921,1793,1537,1025,1,1),
|
4225 |
|
|
(96,191,381,761,1521,993,1985,1921,1793,1537,1025,1),
|
4226 |
|
|
(97,193,385,769,1537,1025,1,1,1,1,1,1),
|
4227 |
|
|
(98,195,389,777,1553,1057,65,129,257,513,1025,1),
|
4228 |
|
|
(99,197,393,785,1569,1089,129,257,513,1025,1,1),
|
4229 |
|
|
(100,199,397,793,1585,1121,193,385,769,1537,1025,1),
|
4230 |
|
|
(101,201,401,801,1601,1153,257,513,1025,1,1,1),
|
4231 |
|
|
(102,203,405,809,1617,1185,321,641,1281,513,1025,1),
|
4232 |
|
|
(103,205,409,817,1633,1217,385,769,1537,1025,1,1),
|
4233 |
|
|
(104,207,413,825,1649,1249,449,897,1793,1537,1025,1),
|
4234 |
|
|
(105,209,417,833,1665,1281,513,1025,1,1,1,1),
|
4235 |
|
|
(106,211,421,841,1681,1313,577,1153,257,513,1025,1),
|
4236 |
|
|
(107,213,425,849,1697,1345,641,1281,513,1025,1,1),
|
4237 |
|
|
(108,215,429,857,1713,1377,705,1409,769,1537,1025,1),
|
4238 |
|
|
(109,217,433,865,1729,1409,769,1537,1025,1,1,1),
|
4239 |
|
|
(110,219,437,873,1745,1441,833,1665,1281,513,1025,1),
|
4240 |
|
|
(111,221,441,881,1761,1473,897,1793,1537,1025,1,1),
|
4241 |
|
|
(112,223,445,889,1777,1505,961,1921,1793,1537,1025,1),
|
4242 |
|
|
(113,225,449,897,1793,1537,1025,1,1,1,1,1),
|
4243 |
|
|
(114,227,453,905,1809,1569,1089,129,257,513,1025,1),
|
4244 |
|
|
(115,229,457,913,1825,1601,1153,257,513,1025,1,1),
|
4245 |
|
|
(116,231,461,921,1841,1633,1217,385,769,1537,1025,1),
|
4246 |
|
|
(117,233,465,929,1857,1665,1281,513,1025,1,1,1),
|
4247 |
|
|
(118,235,469,937,1873,1697,1345,641,1281,513,1025,1),
|
4248 |
|
|
(119,237,473,945,1889,1729,1409,769,1537,1025,1,1),
|
4249 |
|
|
(120,239,477,953,1905,1761,1473,897,1793,1537,1025,1),
|
4250 |
|
|
(121,241,481,961,1921,1793,1537,1025,1,1,1,1),
|
4251 |
|
|
(122,243,485,969,1937,1825,1601,1153,257,513,1025,1),
|
4252 |
|
|
(123,245,489,977,1953,1857,1665,1281,513,1025,1,1),
|
4253 |
|
|
(124,247,493,985,1969,1889,1729,1409,769,1537,1025,1),
|
4254 |
|
|
(125,249,497,993,1985,1921,1793,1537,1025,1,1,1),
|
4255 |
|
|
(126,251,501,1001,2001,1953,1857,1665,1281,513,1025,1),
|
4256 |
|
|
(127,253,505,1009,2017,1985,1921,1793,1537,1025,1,1),
|
4257 |
|
|
(128,255,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
4258 |
|
|
(129,257,513,1025,1,1,1,1,1,1,1,1),
|
4259 |
|
|
(130,259,517,1033,17,33,65,129,257,513,1025,1),
|
4260 |
|
|
(131,261,521,1041,33,65,129,257,513,1025,1,1),
|
4261 |
|
|
(132,263,525,1049,49,97,193,385,769,1537,1025,1),
|
4262 |
|
|
(133,265,529,1057,65,129,257,513,1025,1,1,1),
|
4263 |
|
|
(134,267,533,1065,81,161,321,641,1281,513,1025,1),
|
4264 |
|
|
(135,269,537,1073,97,193,385,769,1537,1025,1,1),
|
4265 |
|
|
(136,271,541,1081,113,225,449,897,1793,1537,1025,1),
|
4266 |
|
|
(137,273,545,1089,129,257,513,1025,1,1,1,1),
|
4267 |
|
|
(138,275,549,1097,145,289,577,1153,257,513,1025,1),
|
4268 |
|
|
(139,277,553,1105,161,321,641,1281,513,1025,1,1),
|
4269 |
|
|
(140,279,557,1113,177,353,705,1409,769,1537,1025,1),
|
4270 |
|
|
(141,281,561,1121,193,385,769,1537,1025,1,1,1),
|
4271 |
|
|
(142,283,565,1129,209,417,833,1665,1281,513,1025,1),
|
4272 |
|
|
(143,285,569,1137,225,449,897,1793,1537,1025,1,1),
|
4273 |
|
|
(144,287,573,1145,241,481,961,1921,1793,1537,1025,1),
|
4274 |
|
|
(145,289,577,1153,257,513,1025,1,1,1,1,1),
|
4275 |
|
|
(146,291,581,1161,273,545,1089,129,257,513,1025,1),
|
4276 |
|
|
(147,293,585,1169,289,577,1153,257,513,1025,1,1),
|
4277 |
|
|
(148,295,589,1177,305,609,1217,385,769,1537,1025,1),
|
4278 |
|
|
(149,297,593,1185,321,641,1281,513,1025,1,1,1),
|
4279 |
|
|
(150,299,597,1193,337,673,1345,641,1281,513,1025,1),
|
4280 |
|
|
(151,301,601,1201,353,705,1409,769,1537,1025,1,1),
|
4281 |
|
|
(152,303,605,1209,369,737,1473,897,1793,1537,1025,1),
|
4282 |
|
|
(153,305,609,1217,385,769,1537,1025,1,1,1,1),
|
4283 |
|
|
(154,307,613,1225,401,801,1601,1153,257,513,1025,1),
|
4284 |
|
|
(155,309,617,1233,417,833,1665,1281,513,1025,1,1),
|
4285 |
|
|
(156,311,621,1241,433,865,1729,1409,769,1537,1025,1),
|
4286 |
|
|
(157,313,625,1249,449,897,1793,1537,1025,1,1,1),
|
4287 |
|
|
(158,315,629,1257,465,929,1857,1665,1281,513,1025,1),
|
4288 |
|
|
(159,317,633,1265,481,961,1921,1793,1537,1025,1,1),
|
4289 |
|
|
(160,319,637,1273,497,993,1985,1921,1793,1537,1025,1),
|
4290 |
|
|
(161,321,641,1281,513,1025,1,1,1,1,1,1),
|
4291 |
|
|
(162,323,645,1289,529,1057,65,129,257,513,1025,1),
|
4292 |
|
|
(163,325,649,1297,545,1089,129,257,513,1025,1,1),
|
4293 |
|
|
(164,327,653,1305,561,1121,193,385,769,1537,1025,1),
|
4294 |
|
|
(165,329,657,1313,577,1153,257,513,1025,1,1,1),
|
4295 |
|
|
(166,331,661,1321,593,1185,321,641,1281,513,1025,1),
|
4296 |
|
|
(167,333,665,1329,609,1217,385,769,1537,1025,1,1),
|
4297 |
|
|
(168,335,669,1337,625,1249,449,897,1793,1537,1025,1),
|
4298 |
|
|
(169,337,673,1345,641,1281,513,1025,1,1,1,1),
|
4299 |
|
|
(170,339,677,1353,657,1313,577,1153,257,513,1025,1),
|
4300 |
|
|
(171,341,681,1361,673,1345,641,1281,513,1025,1,1),
|
4301 |
|
|
(172,343,685,1369,689,1377,705,1409,769,1537,1025,1),
|
4302 |
|
|
(173,345,689,1377,705,1409,769,1537,1025,1,1,1),
|
4303 |
|
|
(174,347,693,1385,721,1441,833,1665,1281,513,1025,1),
|
4304 |
|
|
(175,349,697,1393,737,1473,897,1793,1537,1025,1,1),
|
4305 |
|
|
(176,351,701,1401,753,1505,961,1921,1793,1537,1025,1),
|
4306 |
|
|
(177,353,705,1409,769,1537,1025,1,1,1,1,1),
|
4307 |
|
|
(178,355,709,1417,785,1569,1089,129,257,513,1025,1),
|
4308 |
|
|
(179,357,713,1425,801,1601,1153,257,513,1025,1,1),
|
4309 |
|
|
(180,359,717,1433,817,1633,1217,385,769,1537,1025,1),
|
4310 |
|
|
(181,361,721,1441,833,1665,1281,513,1025,1,1,1),
|
4311 |
|
|
(182,363,725,1449,849,1697,1345,641,1281,513,1025,1),
|
4312 |
|
|
(183,365,729,1457,865,1729,1409,769,1537,1025,1,1),
|
4313 |
|
|
(184,367,733,1465,881,1761,1473,897,1793,1537,1025,1),
|
4314 |
|
|
(185,369,737,1473,897,1793,1537,1025,1,1,1,1),
|
4315 |
|
|
(186,371,741,1481,913,1825,1601,1153,257,513,1025,1),
|
4316 |
|
|
(187,373,745,1489,929,1857,1665,1281,513,1025,1,1),
|
4317 |
|
|
(188,375,749,1497,945,1889,1729,1409,769,1537,1025,1),
|
4318 |
|
|
(189,377,753,1505,961,1921,1793,1537,1025,1,1,1),
|
4319 |
|
|
(190,379,757,1513,977,1953,1857,1665,1281,513,1025,1),
|
4320 |
|
|
(191,381,761,1521,993,1985,1921,1793,1537,1025,1,1),
|
4321 |
|
|
(192,383,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
4322 |
|
|
(193,385,769,1537,1025,1,1,1,1,1,1,1),
|
4323 |
|
|
(194,387,773,1545,1041,33,65,129,257,513,1025,1),
|
4324 |
|
|
(195,389,777,1553,1057,65,129,257,513,1025,1,1),
|
4325 |
|
|
(196,391,781,1561,1073,97,193,385,769,1537,1025,1),
|
4326 |
|
|
(197,393,785,1569,1089,129,257,513,1025,1,1,1),
|
4327 |
|
|
(198,395,789,1577,1105,161,321,641,1281,513,1025,1),
|
4328 |
|
|
(199,397,793,1585,1121,193,385,769,1537,1025,1,1),
|
4329 |
|
|
(200,399,797,1593,1137,225,449,897,1793,1537,1025,1),
|
4330 |
|
|
(201,401,801,1601,1153,257,513,1025,1,1,1,1),
|
4331 |
|
|
(202,403,805,1609,1169,289,577,1153,257,513,1025,1),
|
4332 |
|
|
(203,405,809,1617,1185,321,641,1281,513,1025,1,1),
|
4333 |
|
|
(204,407,813,1625,1201,353,705,1409,769,1537,1025,1),
|
4334 |
|
|
(205,409,817,1633,1217,385,769,1537,1025,1,1,1),
|
4335 |
|
|
(206,411,821,1641,1233,417,833,1665,1281,513,1025,1),
|
4336 |
|
|
(207,413,825,1649,1249,449,897,1793,1537,1025,1,1),
|
4337 |
|
|
(208,415,829,1657,1265,481,961,1921,1793,1537,1025,1),
|
4338 |
|
|
(209,417,833,1665,1281,513,1025,1,1,1,1,1),
|
4339 |
|
|
(210,419,837,1673,1297,545,1089,129,257,513,1025,1),
|
4340 |
|
|
(211,421,841,1681,1313,577,1153,257,513,1025,1,1),
|
4341 |
|
|
(212,423,845,1689,1329,609,1217,385,769,1537,1025,1),
|
4342 |
|
|
(213,425,849,1697,1345,641,1281,513,1025,1,1,1),
|
4343 |
|
|
(214,427,853,1705,1361,673,1345,641,1281,513,1025,1),
|
4344 |
|
|
(215,429,857,1713,1377,705,1409,769,1537,1025,1,1),
|
4345 |
|
|
(216,431,861,1721,1393,737,1473,897,1793,1537,1025,1),
|
4346 |
|
|
(217,433,865,1729,1409,769,1537,1025,1,1,1,1),
|
4347 |
|
|
(218,435,869,1737,1425,801,1601,1153,257,513,1025,1),
|
4348 |
|
|
(219,437,873,1745,1441,833,1665,1281,513,1025,1,1),
|
4349 |
|
|
(220,439,877,1753,1457,865,1729,1409,769,1537,1025,1),
|
4350 |
|
|
(221,441,881,1761,1473,897,1793,1537,1025,1,1,1),
|
4351 |
|
|
(222,443,885,1769,1489,929,1857,1665,1281,513,1025,1),
|
4352 |
|
|
(223,445,889,1777,1505,961,1921,1793,1537,1025,1,1),
|
4353 |
|
|
(224,447,893,1785,1521,993,1985,1921,1793,1537,1025,1),
|
4354 |
|
|
(225,449,897,1793,1537,1025,1,1,1,1,1,1),
|
4355 |
|
|
(226,451,901,1801,1553,1057,65,129,257,513,1025,1),
|
4356 |
|
|
(227,453,905,1809,1569,1089,129,257,513,1025,1,1),
|
4357 |
|
|
(228,455,909,1817,1585,1121,193,385,769,1537,1025,1),
|
4358 |
|
|
(229,457,913,1825,1601,1153,257,513,1025,1,1,1),
|
4359 |
|
|
(230,459,917,1833,1617,1185,321,641,1281,513,1025,1),
|
4360 |
|
|
(231,461,921,1841,1633,1217,385,769,1537,1025,1,1),
|
4361 |
|
|
(232,463,925,1849,1649,1249,449,897,1793,1537,1025,1),
|
4362 |
|
|
(233,465,929,1857,1665,1281,513,1025,1,1,1,1),
|
4363 |
|
|
(234,467,933,1865,1681,1313,577,1153,257,513,1025,1),
|
4364 |
|
|
(235,469,937,1873,1697,1345,641,1281,513,1025,1,1),
|
4365 |
|
|
(236,471,941,1881,1713,1377,705,1409,769,1537,1025,1),
|
4366 |
|
|
(237,473,945,1889,1729,1409,769,1537,1025,1,1,1),
|
4367 |
|
|
(238,475,949,1897,1745,1441,833,1665,1281,513,1025,1),
|
4368 |
|
|
(239,477,953,1905,1761,1473,897,1793,1537,1025,1,1),
|
4369 |
|
|
(240,479,957,1913,1777,1505,961,1921,1793,1537,1025,1),
|
4370 |
|
|
(241,481,961,1921,1793,1537,1025,1,1,1,1,1),
|
4371 |
|
|
(242,483,965,1929,1809,1569,1089,129,257,513,1025,1),
|
4372 |
|
|
(243,485,969,1937,1825,1601,1153,257,513,1025,1,1),
|
4373 |
|
|
(244,487,973,1945,1841,1633,1217,385,769,1537,1025,1),
|
4374 |
|
|
(245,489,977,1953,1857,1665,1281,513,1025,1,1,1),
|
4375 |
|
|
(246,491,981,1961,1873,1697,1345,641,1281,513,1025,1),
|
4376 |
|
|
(247,493,985,1969,1889,1729,1409,769,1537,1025,1,1),
|
4377 |
|
|
(248,495,989,1977,1905,1761,1473,897,1793,1537,1025,1),
|
4378 |
|
|
(249,497,993,1985,1921,1793,1537,1025,1,1,1,1),
|
4379 |
|
|
(250,499,997,1993,1937,1825,1601,1153,257,513,1025,1),
|
4380 |
|
|
(251,501,1001,2001,1953,1857,1665,1281,513,1025,1,1),
|
4381 |
|
|
(252,503,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
|
4382 |
|
|
(253,505,1009,2017,1985,1921,1793,1537,1025,1,1,1),
|
4383 |
|
|
(254,507,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
|
4384 |
|
|
(255,509,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
|
4385 |
|
|
(256,511,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
|
4386 |
|
|
(257,513,1025,1,1,1,1,1,1,1,1,1),
|
4387 |
|
|
(258,515,1029,9,17,33,65,129,257,513,1025,1),
|
4388 |
|
|
(259,517,1033,17,33,65,129,257,513,1025,1,1),
|
4389 |
|
|
(260,519,1037,25,49,97,193,385,769,1537,1025,1),
|
4390 |
|
|
(261,521,1041,33,65,129,257,513,1025,1,1,1),
|
4391 |
|
|
(262,523,1045,41,81,161,321,641,1281,513,1025,1),
|
4392 |
|
|
(263,525,1049,49,97,193,385,769,1537,1025,1,1),
|
4393 |
|
|
(264,527,1053,57,113,225,449,897,1793,1537,1025,1),
|
4394 |
|
|
(265,529,1057,65,129,257,513,1025,1,1,1,1),
|
4395 |
|
|
(266,531,1061,73,145,289,577,1153,257,513,1025,1),
|
4396 |
|
|
(267,533,1065,81,161,321,641,1281,513,1025,1,1),
|
4397 |
|
|
(268,535,1069,89,177,353,705,1409,769,1537,1025,1),
|
4398 |
|
|
(269,537,1073,97,193,385,769,1537,1025,1,1,1),
|
4399 |
|
|
(270,539,1077,105,209,417,833,1665,1281,513,1025,1),
|
4400 |
|
|
(271,541,1081,113,225,449,897,1793,1537,1025,1,1),
|
4401 |
|
|
(272,543,1085,121,241,481,961,1921,1793,1537,1025,1),
|
4402 |
|
|
(273,545,1089,129,257,513,1025,1,1,1,1,1),
|
4403 |
|
|
(274,547,1093,137,273,545,1089,129,257,513,1025,1),
|
4404 |
|
|
(275,549,1097,145,289,577,1153,257,513,1025,1,1),
|
4405 |
|
|
(276,551,1101,153,305,609,1217,385,769,1537,1025,1),
|
4406 |
|
|
(277,553,1105,161,321,641,1281,513,1025,1,1,1),
|
4407 |
|
|
(278,555,1109,169,337,673,1345,641,1281,513,1025,1),
|
4408 |
|
|
(279,557,1113,177,353,705,1409,769,1537,1025,1,1),
|
4409 |
|
|
(280,559,1117,185,369,737,1473,897,1793,1537,1025,1),
|
4410 |
|
|
(281,561,1121,193,385,769,1537,1025,1,1,1,1),
|
4411 |
|
|
(282,563,1125,201,401,801,1601,1153,257,513,1025,1),
|
4412 |
|
|
(283,565,1129,209,417,833,1665,1281,513,1025,1,1),
|
4413 |
|
|
(284,567,1133,217,433,865,1729,1409,769,1537,1025,1),
|
4414 |
|
|
(285,569,1137,225,449,897,1793,1537,1025,1,1,1),
|
4415 |
|
|
(286,571,1141,233,465,929,1857,1665,1281,513,1025,1),
|
4416 |
|
|
(287,573,1145,241,481,961,1921,1793,1537,1025,1,1),
|
4417 |
|
|
(288,575,1149,249,497,993,1985,1921,1793,1537,1025,1),
|
4418 |
|
|
(289,577,1153,257,513,1025,1,1,1,1,1,1),
|
4419 |
|
|
(290,579,1157,265,529,1057,65,129,257,513,1025,1),
|
4420 |
|
|
(291,581,1161,273,545,1089,129,257,513,1025,1,1),
|
4421 |
|
|
(292,583,1165,281,561,1121,193,385,769,1537,1025,1),
|
4422 |
|
|
(293,585,1169,289,577,1153,257,513,1025,1,1,1),
|
4423 |
|
|
(294,587,1173,297,593,1185,321,641,1281,513,1025,1),
|
4424 |
|
|
(295,589,1177,305,609,1217,385,769,1537,1025,1,1),
|
4425 |
|
|
(296,591,1181,313,625,1249,449,897,1793,1537,1025,1),
|
4426 |
|
|
(297,593,1185,321,641,1281,513,1025,1,1,1,1),
|
4427 |
|
|
(298,595,1189,329,657,1313,577,1153,257,513,1025,1),
|
4428 |
|
|
(299,597,1193,337,673,1345,641,1281,513,1025,1,1),
|
4429 |
|
|
(300,599,1197,345,689,1377,705,1409,769,1537,1025,1),
|
4430 |
|
|
(301,601,1201,353,705,1409,769,1537,1025,1,1,1),
|
4431 |
|
|
(302,603,1205,361,721,1441,833,1665,1281,513,1025,1),
|
4432 |
|
|
(303,605,1209,369,737,1473,897,1793,1537,1025,1,1),
|
4433 |
|
|
(304,607,1213,377,753,1505,961,1921,1793,1537,1025,1),
|
4434 |
|
|
(305,609,1217,385,769,1537,1025,1,1,1,1,1),
|
4435 |
|
|
(306,611,1221,393,785,1569,1089,129,257,513,1025,1),
|
4436 |
|
|
(307,613,1225,401,801,1601,1153,257,513,1025,1,1),
|
4437 |
|
|
(308,615,1229,409,817,1633,1217,385,769,1537,1025,1),
|
4438 |
|
|
(309,617,1233,417,833,1665,1281,513,1025,1,1,1),
|
4439 |
|
|
(310,619,1237,425,849,1697,1345,641,1281,513,1025,1),
|
4440 |
|
|
(311,621,1241,433,865,1729,1409,769,1537,1025,1,1),
|
4441 |
|
|
(312,623,1245,441,881,1761,1473,897,1793,1537,1025,1),
|
4442 |
|
|
(313,625,1249,449,897,1793,1537,1025,1,1,1,1),
|
4443 |
|
|
(314,627,1253,457,913,1825,1601,1153,257,513,1025,1),
|
4444 |
|
|
(315,629,1257,465,929,1857,1665,1281,513,1025,1,1),
|
4445 |
|
|
(316,631,1261,473,945,1889,1729,1409,769,1537,1025,1),
|
4446 |
|
|
(317,633,1265,481,961,1921,1793,1537,1025,1,1,1),
|
4447 |
|
|
(318,635,1269,489,977,1953,1857,1665,1281,513,1025,1),
|
4448 |
|
|
(319,637,1273,497,993,1985,1921,1793,1537,1025,1,1),
|
4449 |
|
|
(320,639,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
|
4450 |
|
|
(321,641,1281,513,1025,1,1,1,1,1,1,1),
|
4451 |
|
|
(322,643,1285,521,1041,33,65,129,257,513,1025,1),
|
4452 |
|
|
(323,645,1289,529,1057,65,129,257,513,1025,1,1),
|
4453 |
|
|
(324,647,1293,537,1073,97,193,385,769,1537,1025,1),
|
4454 |
|
|
(325,649,1297,545,1089,129,257,513,1025,1,1,1),
|
4455 |
|
|
(326,651,1301,553,1105,161,321,641,1281,513,1025,1),
|
4456 |
|
|
(327,653,1305,561,1121,193,385,769,1537,1025,1,1),
|
4457 |
|
|
(328,655,1309,569,1137,225,449,897,1793,1537,1025,1),
|
4458 |
|
|
(329,657,1313,577,1153,257,513,1025,1,1,1,1),
|
4459 |
|
|
(330,659,1317,585,1169,289,577,1153,257,513,1025,1),
|
4460 |
|
|
(331,661,1321,593,1185,321,641,1281,513,1025,1,1),
|
4461 |
|
|
(332,663,1325,601,1201,353,705,1409,769,1537,1025,1),
|
4462 |
|
|
(333,665,1329,609,1217,385,769,1537,1025,1,1,1),
|
4463 |
|
|
(334,667,1333,617,1233,417,833,1665,1281,513,1025,1),
|
4464 |
|
|
(335,669,1337,625,1249,449,897,1793,1537,1025,1,1),
|
4465 |
|
|
(336,671,1341,633,1265,481,961,1921,1793,1537,1025,1),
|
4466 |
|
|
(337,673,1345,641,1281,513,1025,1,1,1,1,1),
|
4467 |
|
|
(338,675,1349,649,1297,545,1089,129,257,513,1025,1),
|
4468 |
|
|
(339,677,1353,657,1313,577,1153,257,513,1025,1,1),
|
4469 |
|
|
(340,679,1357,665,1329,609,1217,385,769,1537,1025,1),
|
4470 |
|
|
(341,681,1361,673,1345,641,1281,513,1025,1,1,1),
|
4471 |
|
|
(342,683,1365,681,1361,673,1345,641,1281,513,1025,1),
|
4472 |
|
|
(343,685,1369,689,1377,705,1409,769,1537,1025,1,1),
|
4473 |
|
|
(344,687,1373,697,1393,737,1473,897,1793,1537,1025,1),
|
4474 |
|
|
(345,689,1377,705,1409,769,1537,1025,1,1,1,1),
|
4475 |
|
|
(346,691,1381,713,1425,801,1601,1153,257,513,1025,1),
|
4476 |
|
|
(347,693,1385,721,1441,833,1665,1281,513,1025,1,1),
|
4477 |
|
|
(348,695,1389,729,1457,865,1729,1409,769,1537,1025,1),
|
4478 |
|
|
(349,697,1393,737,1473,897,1793,1537,1025,1,1,1),
|
4479 |
|
|
(350,699,1397,745,1489,929,1857,1665,1281,513,1025,1),
|
4480 |
|
|
(351,701,1401,753,1505,961,1921,1793,1537,1025,1,1),
|
4481 |
|
|
(352,703,1405,761,1521,993,1985,1921,1793,1537,1025,1),
|
4482 |
|
|
(353,705,1409,769,1537,1025,1,1,1,1,1,1),
|
4483 |
|
|
(354,707,1413,777,1553,1057,65,129,257,513,1025,1),
|
4484 |
|
|
(355,709,1417,785,1569,1089,129,257,513,1025,1,1),
|
4485 |
|
|
(356,711,1421,793,1585,1121,193,385,769,1537,1025,1),
|
4486 |
|
|
(357,713,1425,801,1601,1153,257,513,1025,1,1,1),
|
4487 |
|
|
(358,715,1429,809,1617,1185,321,641,1281,513,1025,1),
|
4488 |
|
|
(359,717,1433,817,1633,1217,385,769,1537,1025,1,1),
|
4489 |
|
|
(360,719,1437,825,1649,1249,449,897,1793,1537,1025,1),
|
4490 |
|
|
(361,721,1441,833,1665,1281,513,1025,1,1,1,1),
|
4491 |
|
|
(362,723,1445,841,1681,1313,577,1153,257,513,1025,1),
|
4492 |
|
|
(363,725,1449,849,1697,1345,641,1281,513,1025,1,1),
|
4493 |
|
|
(364,727,1453,857,1713,1377,705,1409,769,1537,1025,1),
|
4494 |
|
|
(365,729,1457,865,1729,1409,769,1537,1025,1,1,1),
|
4495 |
|
|
(366,731,1461,873,1745,1441,833,1665,1281,513,1025,1),
|
4496 |
|
|
(367,733,1465,881,1761,1473,897,1793,1537,1025,1,1),
|
4497 |
|
|
(368,735,1469,889,1777,1505,961,1921,1793,1537,1025,1),
|
4498 |
|
|
(369,737,1473,897,1793,1537,1025,1,1,1,1,1),
|
4499 |
|
|
(370,739,1477,905,1809,1569,1089,129,257,513,1025,1),
|
4500 |
|
|
(371,741,1481,913,1825,1601,1153,257,513,1025,1,1),
|
4501 |
|
|
(372,743,1485,921,1841,1633,1217,385,769,1537,1025,1),
|
4502 |
|
|
(373,745,1489,929,1857,1665,1281,513,1025,1,1,1),
|
4503 |
|
|
(374,747,1493,937,1873,1697,1345,641,1281,513,1025,1),
|
4504 |
|
|
(375,749,1497,945,1889,1729,1409,769,1537,1025,1,1),
|
4505 |
|
|
(376,751,1501,953,1905,1761,1473,897,1793,1537,1025,1),
|
4506 |
|
|
(377,753,1505,961,1921,1793,1537,1025,1,1,1,1),
|
4507 |
|
|
(378,755,1509,969,1937,1825,1601,1153,257,513,1025,1),
|
4508 |
|
|
(379,757,1513,977,1953,1857,1665,1281,513,1025,1,1),
|
4509 |
|
|
(380,759,1517,985,1969,1889,1729,1409,769,1537,1025,1),
|
4510 |
|
|
(381,761,1521,993,1985,1921,1793,1537,1025,1,1,1),
|
4511 |
|
|
(382,763,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
|
4512 |
|
|
(383,765,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
|
4513 |
|
|
(384,767,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
4514 |
|
|
(385,769,1537,1025,1,1,1,1,1,1,1,1),
|
4515 |
|
|
(386,771,1541,1033,17,33,65,129,257,513,1025,1),
|
4516 |
|
|
(387,773,1545,1041,33,65,129,257,513,1025,1,1),
|
4517 |
|
|
(388,775,1549,1049,49,97,193,385,769,1537,1025,1),
|
4518 |
|
|
(389,777,1553,1057,65,129,257,513,1025,1,1,1),
|
4519 |
|
|
(390,779,1557,1065,81,161,321,641,1281,513,1025,1),
|
4520 |
|
|
(391,781,1561,1073,97,193,385,769,1537,1025,1,1),
|
4521 |
|
|
(392,783,1565,1081,113,225,449,897,1793,1537,1025,1),
|
4522 |
|
|
(393,785,1569,1089,129,257,513,1025,1,1,1,1),
|
4523 |
|
|
(394,787,1573,1097,145,289,577,1153,257,513,1025,1),
|
4524 |
|
|
(395,789,1577,1105,161,321,641,1281,513,1025,1,1),
|
4525 |
|
|
(396,791,1581,1113,177,353,705,1409,769,1537,1025,1),
|
4526 |
|
|
(397,793,1585,1121,193,385,769,1537,1025,1,1,1),
|
4527 |
|
|
(398,795,1589,1129,209,417,833,1665,1281,513,1025,1),
|
4528 |
|
|
(399,797,1593,1137,225,449,897,1793,1537,1025,1,1),
|
4529 |
|
|
(400,799,1597,1145,241,481,961,1921,1793,1537,1025,1),
|
4530 |
|
|
(401,801,1601,1153,257,513,1025,1,1,1,1,1),
|
4531 |
|
|
(402,803,1605,1161,273,545,1089,129,257,513,1025,1),
|
4532 |
|
|
(403,805,1609,1169,289,577,1153,257,513,1025,1,1),
|
4533 |
|
|
(404,807,1613,1177,305,609,1217,385,769,1537,1025,1),
|
4534 |
|
|
(405,809,1617,1185,321,641,1281,513,1025,1,1,1),
|
4535 |
|
|
(406,811,1621,1193,337,673,1345,641,1281,513,1025,1),
|
4536 |
|
|
(407,813,1625,1201,353,705,1409,769,1537,1025,1,1),
|
4537 |
|
|
(408,815,1629,1209,369,737,1473,897,1793,1537,1025,1),
|
4538 |
|
|
(409,817,1633,1217,385,769,1537,1025,1,1,1,1),
|
4539 |
|
|
(410,819,1637,1225,401,801,1601,1153,257,513,1025,1),
|
4540 |
|
|
(411,821,1641,1233,417,833,1665,1281,513,1025,1,1),
|
4541 |
|
|
(412,823,1645,1241,433,865,1729,1409,769,1537,1025,1),
|
4542 |
|
|
(413,825,1649,1249,449,897,1793,1537,1025,1,1,1),
|
4543 |
|
|
(414,827,1653,1257,465,929,1857,1665,1281,513,1025,1),
|
4544 |
|
|
(415,829,1657,1265,481,961,1921,1793,1537,1025,1,1),
|
4545 |
|
|
(416,831,1661,1273,497,993,1985,1921,1793,1537,1025,1),
|
4546 |
|
|
(417,833,1665,1281,513,1025,1,1,1,1,1,1),
|
4547 |
|
|
(418,835,1669,1289,529,1057,65,129,257,513,1025,1),
|
4548 |
|
|
(419,837,1673,1297,545,1089,129,257,513,1025,1,1),
|
4549 |
|
|
(420,839,1677,1305,561,1121,193,385,769,1537,1025,1),
|
4550 |
|
|
(421,841,1681,1313,577,1153,257,513,1025,1,1,1),
|
4551 |
|
|
(422,843,1685,1321,593,1185,321,641,1281,513,1025,1),
|
4552 |
|
|
(423,845,1689,1329,609,1217,385,769,1537,1025,1,1),
|
4553 |
|
|
(424,847,1693,1337,625,1249,449,897,1793,1537,1025,1),
|
4554 |
|
|
(425,849,1697,1345,641,1281,513,1025,1,1,1,1),
|
4555 |
|
|
(426,851,1701,1353,657,1313,577,1153,257,513,1025,1),
|
4556 |
|
|
(427,853,1705,1361,673,1345,641,1281,513,1025,1,1),
|
4557 |
|
|
(428,855,1709,1369,689,1377,705,1409,769,1537,1025,1),
|
4558 |
|
|
(429,857,1713,1377,705,1409,769,1537,1025,1,1,1),
|
4559 |
|
|
(430,859,1717,1385,721,1441,833,1665,1281,513,1025,1),
|
4560 |
|
|
(431,861,1721,1393,737,1473,897,1793,1537,1025,1,1),
|
4561 |
|
|
(432,863,1725,1401,753,1505,961,1921,1793,1537,1025,1),
|
4562 |
|
|
(433,865,1729,1409,769,1537,1025,1,1,1,1,1),
|
4563 |
|
|
(434,867,1733,1417,785,1569,1089,129,257,513,1025,1),
|
4564 |
|
|
(435,869,1737,1425,801,1601,1153,257,513,1025,1,1),
|
4565 |
|
|
(436,871,1741,1433,817,1633,1217,385,769,1537,1025,1),
|
4566 |
|
|
(437,873,1745,1441,833,1665,1281,513,1025,1,1,1),
|
4567 |
|
|
(438,875,1749,1449,849,1697,1345,641,1281,513,1025,1),
|
4568 |
|
|
(439,877,1753,1457,865,1729,1409,769,1537,1025,1,1),
|
4569 |
|
|
(440,879,1757,1465,881,1761,1473,897,1793,1537,1025,1),
|
4570 |
|
|
(441,881,1761,1473,897,1793,1537,1025,1,1,1,1),
|
4571 |
|
|
(442,883,1765,1481,913,1825,1601,1153,257,513,1025,1),
|
4572 |
|
|
(443,885,1769,1489,929,1857,1665,1281,513,1025,1,1),
|
4573 |
|
|
(444,887,1773,1497,945,1889,1729,1409,769,1537,1025,1),
|
4574 |
|
|
(445,889,1777,1505,961,1921,1793,1537,1025,1,1,1),
|
4575 |
|
|
(446,891,1781,1513,977,1953,1857,1665,1281,513,1025,1),
|
4576 |
|
|
(447,893,1785,1521,993,1985,1921,1793,1537,1025,1,1),
|
4577 |
|
|
(448,895,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
4578 |
|
|
(449,897,1793,1537,1025,1,1,1,1,1,1,1),
|
4579 |
|
|
(450,899,1797,1545,1041,33,65,129,257,513,1025,1),
|
4580 |
|
|
(451,901,1801,1553,1057,65,129,257,513,1025,1,1),
|
4581 |
|
|
(452,903,1805,1561,1073,97,193,385,769,1537,1025,1),
|
4582 |
|
|
(453,905,1809,1569,1089,129,257,513,1025,1,1,1),
|
4583 |
|
|
(454,907,1813,1577,1105,161,321,641,1281,513,1025,1),
|
4584 |
|
|
(455,909,1817,1585,1121,193,385,769,1537,1025,1,1),
|
4585 |
|
|
(456,911,1821,1593,1137,225,449,897,1793,1537,1025,1),
|
4586 |
|
|
(457,913,1825,1601,1153,257,513,1025,1,1,1,1),
|
4587 |
|
|
(458,915,1829,1609,1169,289,577,1153,257,513,1025,1),
|
4588 |
|
|
(459,917,1833,1617,1185,321,641,1281,513,1025,1,1),
|
4589 |
|
|
(460,919,1837,1625,1201,353,705,1409,769,1537,1025,1),
|
4590 |
|
|
(461,921,1841,1633,1217,385,769,1537,1025,1,1,1),
|
4591 |
|
|
(462,923,1845,1641,1233,417,833,1665,1281,513,1025,1),
|
4592 |
|
|
(463,925,1849,1649,1249,449,897,1793,1537,1025,1,1),
|
4593 |
|
|
(464,927,1853,1657,1265,481,961,1921,1793,1537,1025,1),
|
4594 |
|
|
(465,929,1857,1665,1281,513,1025,1,1,1,1,1),
|
4595 |
|
|
(466,931,1861,1673,1297,545,1089,129,257,513,1025,1),
|
4596 |
|
|
(467,933,1865,1681,1313,577,1153,257,513,1025,1,1),
|
4597 |
|
|
(468,935,1869,1689,1329,609,1217,385,769,1537,1025,1),
|
4598 |
|
|
(469,937,1873,1697,1345,641,1281,513,1025,1,1,1),
|
4599 |
|
|
(470,939,1877,1705,1361,673,1345,641,1281,513,1025,1),
|
4600 |
|
|
(471,941,1881,1713,1377,705,1409,769,1537,1025,1,1),
|
4601 |
|
|
(472,943,1885,1721,1393,737,1473,897,1793,1537,1025,1),
|
4602 |
|
|
(473,945,1889,1729,1409,769,1537,1025,1,1,1,1),
|
4603 |
|
|
(474,947,1893,1737,1425,801,1601,1153,257,513,1025,1),
|
4604 |
|
|
(475,949,1897,1745,1441,833,1665,1281,513,1025,1,1),
|
4605 |
|
|
(476,951,1901,1753,1457,865,1729,1409,769,1537,1025,1),
|
4606 |
|
|
(477,953,1905,1761,1473,897,1793,1537,1025,1,1,1),
|
4607 |
|
|
(478,955,1909,1769,1489,929,1857,1665,1281,513,1025,1),
|
4608 |
|
|
(479,957,1913,1777,1505,961,1921,1793,1537,1025,1,1),
|
4609 |
|
|
(480,959,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
|
4610 |
|
|
(481,961,1921,1793,1537,1025,1,1,1,1,1,1),
|
4611 |
|
|
(482,963,1925,1801,1553,1057,65,129,257,513,1025,1),
|
4612 |
|
|
(483,965,1929,1809,1569,1089,129,257,513,1025,1,1),
|
4613 |
|
|
(484,967,1933,1817,1585,1121,193,385,769,1537,1025,1),
|
4614 |
|
|
(485,969,1937,1825,1601,1153,257,513,1025,1,1,1),
|
4615 |
|
|
(486,971,1941,1833,1617,1185,321,641,1281,513,1025,1),
|
4616 |
|
|
(487,973,1945,1841,1633,1217,385,769,1537,1025,1,1),
|
4617 |
|
|
(488,975,1949,1849,1649,1249,449,897,1793,1537,1025,1),
|
4618 |
|
|
(489,977,1953,1857,1665,1281,513,1025,1,1,1,1),
|
4619 |
|
|
(490,979,1957,1865,1681,1313,577,1153,257,513,1025,1),
|
4620 |
|
|
(491,981,1961,1873,1697,1345,641,1281,513,1025,1,1),
|
4621 |
|
|
(492,983,1965,1881,1713,1377,705,1409,769,1537,1025,1),
|
4622 |
|
|
(493,985,1969,1889,1729,1409,769,1537,1025,1,1,1),
|
4623 |
|
|
(494,987,1973,1897,1745,1441,833,1665,1281,513,1025,1),
|
4624 |
|
|
(495,989,1977,1905,1761,1473,897,1793,1537,1025,1,1),
|
4625 |
|
|
(496,991,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
|
4626 |
|
|
(497,993,1985,1921,1793,1537,1025,1,1,1,1,1),
|
4627 |
|
|
(498,995,1989,1929,1809,1569,1089,129,257,513,1025,1),
|
4628 |
|
|
(499,997,1993,1937,1825,1601,1153,257,513,1025,1,1),
|
4629 |
|
|
(500,999,1997,1945,1841,1633,1217,385,769,1537,1025,1),
|
4630 |
|
|
(501,1001,2001,1953,1857,1665,1281,513,1025,1,1,1),
|
4631 |
|
|
(502,1003,2005,1961,1873,1697,1345,641,1281,513,1025,1),
|
4632 |
|
|
(503,1005,2009,1969,1889,1729,1409,769,1537,1025,1,1),
|
4633 |
|
|
(504,1007,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
|
4634 |
|
|
(505,1009,2017,1985,1921,1793,1537,1025,1,1,1,1),
|
4635 |
|
|
(506,1011,2021,1993,1937,1825,1601,1153,257,513,1025,1),
|
4636 |
|
|
(507,1013,2025,2001,1953,1857,1665,1281,513,1025,1,1),
|
4637 |
|
|
(508,1015,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
|
4638 |
|
|
(509,1017,2033,2017,1985,1921,1793,1537,1025,1,1,1),
|
4639 |
|
|
(510,1019,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
|
4640 |
|
|
(511,1021,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
|
4641 |
|
|
(512,1023,2045,2041,2033,2017,1985,1921,1793,1537,1025,1),
|
4642 |
|
|
(513,1025,1,1,1,1,1,1,1,1,1,1),
|
4643 |
|
|
(514,1027,5,9,17,33,65,129,257,513,1025,1),
|
4644 |
|
|
(515,1029,9,17,33,65,129,257,513,1025,1,1),
|
4645 |
|
|
(516,1031,13,25,49,97,193,385,769,1537,1025,1),
|
4646 |
|
|
(517,1033,17,33,65,129,257,513,1025,1,1,1),
|
4647 |
|
|
(518,1035,21,41,81,161,321,641,1281,513,1025,1),
|
4648 |
|
|
(519,1037,25,49,97,193,385,769,1537,1025,1,1),
|
4649 |
|
|
(520,1039,29,57,113,225,449,897,1793,1537,1025,1),
|
4650 |
|
|
(521,1041,33,65,129,257,513,1025,1,1,1,1),
|
4651 |
|
|
(522,1043,37,73,145,289,577,1153,257,513,1025,1),
|
4652 |
|
|
(523,1045,41,81,161,321,641,1281,513,1025,1,1),
|
4653 |
|
|
(524,1047,45,89,177,353,705,1409,769,1537,1025,1),
|
4654 |
|
|
(525,1049,49,97,193,385,769,1537,1025,1,1,1),
|
4655 |
|
|
(526,1051,53,105,209,417,833,1665,1281,513,1025,1),
|
4656 |
|
|
(527,1053,57,113,225,449,897,1793,1537,1025,1,1),
|
4657 |
|
|
(528,1055,61,121,241,481,961,1921,1793,1537,1025,1),
|
4658 |
|
|
(529,1057,65,129,257,513,1025,1,1,1,1,1),
|
4659 |
|
|
(530,1059,69,137,273,545,1089,129,257,513,1025,1),
|
4660 |
|
|
(531,1061,73,145,289,577,1153,257,513,1025,1,1),
|
4661 |
|
|
(532,1063,77,153,305,609,1217,385,769,1537,1025,1),
|
4662 |
|
|
(533,1065,81,161,321,641,1281,513,1025,1,1,1),
|
4663 |
|
|
(534,1067,85,169,337,673,1345,641,1281,513,1025,1),
|
4664 |
|
|
(535,1069,89,177,353,705,1409,769,1537,1025,1,1),
|
4665 |
|
|
(536,1071,93,185,369,737,1473,897,1793,1537,1025,1),
|
4666 |
|
|
(537,1073,97,193,385,769,1537,1025,1,1,1,1),
|
4667 |
|
|
(538,1075,101,201,401,801,1601,1153,257,513,1025,1),
|
4668 |
|
|
(539,1077,105,209,417,833,1665,1281,513,1025,1,1),
|
4669 |
|
|
(540,1079,109,217,433,865,1729,1409,769,1537,1025,1),
|
4670 |
|
|
(541,1081,113,225,449,897,1793,1537,1025,1,1,1),
|
4671 |
|
|
(542,1083,117,233,465,929,1857,1665,1281,513,1025,1),
|
4672 |
|
|
(543,1085,121,241,481,961,1921,1793,1537,1025,1,1),
|
4673 |
|
|
(544,1087,125,249,497,993,1985,1921,1793,1537,1025,1),
|
4674 |
|
|
(545,1089,129,257,513,1025,1,1,1,1,1,1),
|
4675 |
|
|
(546,1091,133,265,529,1057,65,129,257,513,1025,1),
|
4676 |
|
|
(547,1093,137,273,545,1089,129,257,513,1025,1,1),
|
4677 |
|
|
(548,1095,141,281,561,1121,193,385,769,1537,1025,1),
|
4678 |
|
|
(549,1097,145,289,577,1153,257,513,1025,1,1,1),
|
4679 |
|
|
(550,1099,149,297,593,1185,321,641,1281,513,1025,1),
|
4680 |
|
|
(551,1101,153,305,609,1217,385,769,1537,1025,1,1),
|
4681 |
|
|
(552,1103,157,313,625,1249,449,897,1793,1537,1025,1),
|
4682 |
|
|
(553,1105,161,321,641,1281,513,1025,1,1,1,1),
|
4683 |
|
|
(554,1107,165,329,657,1313,577,1153,257,513,1025,1),
|
4684 |
|
|
(555,1109,169,337,673,1345,641,1281,513,1025,1,1),
|
4685 |
|
|
(556,1111,173,345,689,1377,705,1409,769,1537,1025,1),
|
4686 |
|
|
(557,1113,177,353,705,1409,769,1537,1025,1,1,1),
|
4687 |
|
|
(558,1115,181,361,721,1441,833,1665,1281,513,1025,1),
|
4688 |
|
|
(559,1117,185,369,737,1473,897,1793,1537,1025,1,1),
|
4689 |
|
|
(560,1119,189,377,753,1505,961,1921,1793,1537,1025,1),
|
4690 |
|
|
(561,1121,193,385,769,1537,1025,1,1,1,1,1),
|
4691 |
|
|
(562,1123,197,393,785,1569,1089,129,257,513,1025,1),
|
4692 |
|
|
(563,1125,201,401,801,1601,1153,257,513,1025,1,1),
|
4693 |
|
|
(564,1127,205,409,817,1633,1217,385,769,1537,1025,1),
|
4694 |
|
|
(565,1129,209,417,833,1665,1281,513,1025,1,1,1),
|
4695 |
|
|
(566,1131,213,425,849,1697,1345,641,1281,513,1025,1),
|
4696 |
|
|
(567,1133,217,433,865,1729,1409,769,1537,1025,1,1),
|
4697 |
|
|
(568,1135,221,441,881,1761,1473,897,1793,1537,1025,1),
|
4698 |
|
|
(569,1137,225,449,897,1793,1537,1025,1,1,1,1),
|
4699 |
|
|
(570,1139,229,457,913,1825,1601,1153,257,513,1025,1),
|
4700 |
|
|
(571,1141,233,465,929,1857,1665,1281,513,1025,1,1),
|
4701 |
|
|
(572,1143,237,473,945,1889,1729,1409,769,1537,1025,1),
|
4702 |
|
|
(573,1145,241,481,961,1921,1793,1537,1025,1,1,1),
|
4703 |
|
|
(574,1147,245,489,977,1953,1857,1665,1281,513,1025,1),
|
4704 |
|
|
(575,1149,249,497,993,1985,1921,1793,1537,1025,1,1),
|
4705 |
|
|
(576,1151,253,505,1009,2017,1985,1921,1793,1537,1025,1),
|
4706 |
|
|
(577,1153,257,513,1025,1,1,1,1,1,1,1),
|
4707 |
|
|
(578,1155,261,521,1041,33,65,129,257,513,1025,1),
|
4708 |
|
|
(579,1157,265,529,1057,65,129,257,513,1025,1,1),
|
4709 |
|
|
(580,1159,269,537,1073,97,193,385,769,1537,1025,1),
|
4710 |
|
|
(581,1161,273,545,1089,129,257,513,1025,1,1,1),
|
4711 |
|
|
(582,1163,277,553,1105,161,321,641,1281,513,1025,1),
|
4712 |
|
|
(583,1165,281,561,1121,193,385,769,1537,1025,1,1),
|
4713 |
|
|
(584,1167,285,569,1137,225,449,897,1793,1537,1025,1),
|
4714 |
|
|
(585,1169,289,577,1153,257,513,1025,1,1,1,1),
|
4715 |
|
|
(586,1171,293,585,1169,289,577,1153,257,513,1025,1),
|
4716 |
|
|
(587,1173,297,593,1185,321,641,1281,513,1025,1,1),
|
4717 |
|
|
(588,1175,301,601,1201,353,705,1409,769,1537,1025,1),
|
4718 |
|
|
(589,1177,305,609,1217,385,769,1537,1025,1,1,1),
|
4719 |
|
|
(590,1179,309,617,1233,417,833,1665,1281,513,1025,1),
|
4720 |
|
|
(591,1181,313,625,1249,449,897,1793,1537,1025,1,1),
|
4721 |
|
|
(592,1183,317,633,1265,481,961,1921,1793,1537,1025,1),
|
4722 |
|
|
(593,1185,321,641,1281,513,1025,1,1,1,1,1),
|
4723 |
|
|
(594,1187,325,649,1297,545,1089,129,257,513,1025,1),
|
4724 |
|
|
(595,1189,329,657,1313,577,1153,257,513,1025,1,1),
|
4725 |
|
|
(596,1191,333,665,1329,609,1217,385,769,1537,1025,1),
|
4726 |
|
|
(597,1193,337,673,1345,641,1281,513,1025,1,1,1),
|
4727 |
|
|
(598,1195,341,681,1361,673,1345,641,1281,513,1025,1),
|
4728 |
|
|
(599,1197,345,689,1377,705,1409,769,1537,1025,1,1),
|
4729 |
|
|
(600,1199,349,697,1393,737,1473,897,1793,1537,1025,1),
|
4730 |
|
|
(601,1201,353,705,1409,769,1537,1025,1,1,1,1),
|
4731 |
|
|
(602,1203,357,713,1425,801,1601,1153,257,513,1025,1),
|
4732 |
|
|
(603,1205,361,721,1441,833,1665,1281,513,1025,1,1),
|
4733 |
|
|
(604,1207,365,729,1457,865,1729,1409,769,1537,1025,1),
|
4734 |
|
|
(605,1209,369,737,1473,897,1793,1537,1025,1,1,1),
|
4735 |
|
|
(606,1211,373,745,1489,929,1857,1665,1281,513,1025,1),
|
4736 |
|
|
(607,1213,377,753,1505,961,1921,1793,1537,1025,1,1),
|
4737 |
|
|
(608,1215,381,761,1521,993,1985,1921,1793,1537,1025,1),
|
4738 |
|
|
(609,1217,385,769,1537,1025,1,1,1,1,1,1),
|
4739 |
|
|
(610,1219,389,777,1553,1057,65,129,257,513,1025,1),
|
4740 |
|
|
(611,1221,393,785,1569,1089,129,257,513,1025,1,1),
|
4741 |
|
|
(612,1223,397,793,1585,1121,193,385,769,1537,1025,1),
|
4742 |
|
|
(613,1225,401,801,1601,1153,257,513,1025,1,1,1),
|
4743 |
|
|
(614,1227,405,809,1617,1185,321,641,1281,513,1025,1),
|
4744 |
|
|
(615,1229,409,817,1633,1217,385,769,1537,1025,1,1),
|
4745 |
|
|
(616,1231,413,825,1649,1249,449,897,1793,1537,1025,1),
|
4746 |
|
|
(617,1233,417,833,1665,1281,513,1025,1,1,1,1),
|
4747 |
|
|
(618,1235,421,841,1681,1313,577,1153,257,513,1025,1),
|
4748 |
|
|
(619,1237,425,849,1697,1345,641,1281,513,1025,1,1),
|
4749 |
|
|
(620,1239,429,857,1713,1377,705,1409,769,1537,1025,1),
|
4750 |
|
|
(621,1241,433,865,1729,1409,769,1537,1025,1,1,1),
|
4751 |
|
|
(622,1243,437,873,1745,1441,833,1665,1281,513,1025,1),
|
4752 |
|
|
(623,1245,441,881,1761,1473,897,1793,1537,1025,1,1),
|
4753 |
|
|
(624,1247,445,889,1777,1505,961,1921,1793,1537,1025,1),
|
4754 |
|
|
(625,1249,449,897,1793,1537,1025,1,1,1,1,1),
|
4755 |
|
|
(626,1251,453,905,1809,1569,1089,129,257,513,1025,1),
|
4756 |
|
|
(627,1253,457,913,1825,1601,1153,257,513,1025,1,1),
|
4757 |
|
|
(628,1255,461,921,1841,1633,1217,385,769,1537,1025,1),
|
4758 |
|
|
(629,1257,465,929,1857,1665,1281,513,1025,1,1,1),
|
4759 |
|
|
(630,1259,469,937,1873,1697,1345,641,1281,513,1025,1),
|
4760 |
|
|
(631,1261,473,945,1889,1729,1409,769,1537,1025,1,1),
|
4761 |
|
|
(632,1263,477,953,1905,1761,1473,897,1793,1537,1025,1),
|
4762 |
|
|
(633,1265,481,961,1921,1793,1537,1025,1,1,1,1),
|
4763 |
|
|
(634,1267,485,969,1937,1825,1601,1153,257,513,1025,1),
|
4764 |
|
|
(635,1269,489,977,1953,1857,1665,1281,513,1025,1,1),
|
4765 |
|
|
(636,1271,493,985,1969,1889,1729,1409,769,1537,1025,1),
|
4766 |
|
|
(637,1273,497,993,1985,1921,1793,1537,1025,1,1,1),
|
4767 |
|
|
(638,1275,501,1001,2001,1953,1857,1665,1281,513,1025,1),
|
4768 |
|
|
(639,1277,505,1009,2017,1985,1921,1793,1537,1025,1,1),
|
4769 |
|
|
(640,1279,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
4770 |
|
|
(641,1281,513,1025,1,1,1,1,1,1,1,1),
|
4771 |
|
|
(642,1283,517,1033,17,33,65,129,257,513,1025,1),
|
4772 |
|
|
(643,1285,521,1041,33,65,129,257,513,1025,1,1),
|
4773 |
|
|
(644,1287,525,1049,49,97,193,385,769,1537,1025,1),
|
4774 |
|
|
(645,1289,529,1057,65,129,257,513,1025,1,1,1),
|
4775 |
|
|
(646,1291,533,1065,81,161,321,641,1281,513,1025,1),
|
4776 |
|
|
(647,1293,537,1073,97,193,385,769,1537,1025,1,1),
|
4777 |
|
|
(648,1295,541,1081,113,225,449,897,1793,1537,1025,1),
|
4778 |
|
|
(649,1297,545,1089,129,257,513,1025,1,1,1,1),
|
4779 |
|
|
(650,1299,549,1097,145,289,577,1153,257,513,1025,1),
|
4780 |
|
|
(651,1301,553,1105,161,321,641,1281,513,1025,1,1),
|
4781 |
|
|
(652,1303,557,1113,177,353,705,1409,769,1537,1025,1),
|
4782 |
|
|
(653,1305,561,1121,193,385,769,1537,1025,1,1,1),
|
4783 |
|
|
(654,1307,565,1129,209,417,833,1665,1281,513,1025,1),
|
4784 |
|
|
(655,1309,569,1137,225,449,897,1793,1537,1025,1,1),
|
4785 |
|
|
(656,1311,573,1145,241,481,961,1921,1793,1537,1025,1),
|
4786 |
|
|
(657,1313,577,1153,257,513,1025,1,1,1,1,1),
|
4787 |
|
|
(658,1315,581,1161,273,545,1089,129,257,513,1025,1),
|
4788 |
|
|
(659,1317,585,1169,289,577,1153,257,513,1025,1,1),
|
4789 |
|
|
(660,1319,589,1177,305,609,1217,385,769,1537,1025,1),
|
4790 |
|
|
(661,1321,593,1185,321,641,1281,513,1025,1,1,1),
|
4791 |
|
|
(662,1323,597,1193,337,673,1345,641,1281,513,1025,1),
|
4792 |
|
|
(663,1325,601,1201,353,705,1409,769,1537,1025,1,1),
|
4793 |
|
|
(664,1327,605,1209,369,737,1473,897,1793,1537,1025,1),
|
4794 |
|
|
(665,1329,609,1217,385,769,1537,1025,1,1,1,1),
|
4795 |
|
|
(666,1331,613,1225,401,801,1601,1153,257,513,1025,1),
|
4796 |
|
|
(667,1333,617,1233,417,833,1665,1281,513,1025,1,1),
|
4797 |
|
|
(668,1335,621,1241,433,865,1729,1409,769,1537,1025,1),
|
4798 |
|
|
(669,1337,625,1249,449,897,1793,1537,1025,1,1,1),
|
4799 |
|
|
(670,1339,629,1257,465,929,1857,1665,1281,513,1025,1),
|
4800 |
|
|
(671,1341,633,1265,481,961,1921,1793,1537,1025,1,1),
|
4801 |
|
|
(672,1343,637,1273,497,993,1985,1921,1793,1537,1025,1),
|
4802 |
|
|
(673,1345,641,1281,513,1025,1,1,1,1,1,1),
|
4803 |
|
|
(674,1347,645,1289,529,1057,65,129,257,513,1025,1),
|
4804 |
|
|
(675,1349,649,1297,545,1089,129,257,513,1025,1,1),
|
4805 |
|
|
(676,1351,653,1305,561,1121,193,385,769,1537,1025,1),
|
4806 |
|
|
(677,1353,657,1313,577,1153,257,513,1025,1,1,1),
|
4807 |
|
|
(678,1355,661,1321,593,1185,321,641,1281,513,1025,1),
|
4808 |
|
|
(679,1357,665,1329,609,1217,385,769,1537,1025,1,1),
|
4809 |
|
|
(680,1359,669,1337,625,1249,449,897,1793,1537,1025,1),
|
4810 |
|
|
(681,1361,673,1345,641,1281,513,1025,1,1,1,1),
|
4811 |
|
|
(682,1363,677,1353,657,1313,577,1153,257,513,1025,1),
|
4812 |
|
|
(683,1365,681,1361,673,1345,641,1281,513,1025,1,1),
|
4813 |
|
|
(684,1367,685,1369,689,1377,705,1409,769,1537,1025,1),
|
4814 |
|
|
(685,1369,689,1377,705,1409,769,1537,1025,1,1,1),
|
4815 |
|
|
(686,1371,693,1385,721,1441,833,1665,1281,513,1025,1),
|
4816 |
|
|
(687,1373,697,1393,737,1473,897,1793,1537,1025,1,1),
|
4817 |
|
|
(688,1375,701,1401,753,1505,961,1921,1793,1537,1025,1),
|
4818 |
|
|
(689,1377,705,1409,769,1537,1025,1,1,1,1,1),
|
4819 |
|
|
(690,1379,709,1417,785,1569,1089,129,257,513,1025,1),
|
4820 |
|
|
(691,1381,713,1425,801,1601,1153,257,513,1025,1,1),
|
4821 |
|
|
(692,1383,717,1433,817,1633,1217,385,769,1537,1025,1),
|
4822 |
|
|
(693,1385,721,1441,833,1665,1281,513,1025,1,1,1),
|
4823 |
|
|
(694,1387,725,1449,849,1697,1345,641,1281,513,1025,1),
|
4824 |
|
|
(695,1389,729,1457,865,1729,1409,769,1537,1025,1,1),
|
4825 |
|
|
(696,1391,733,1465,881,1761,1473,897,1793,1537,1025,1),
|
4826 |
|
|
(697,1393,737,1473,897,1793,1537,1025,1,1,1,1),
|
4827 |
|
|
(698,1395,741,1481,913,1825,1601,1153,257,513,1025,1),
|
4828 |
|
|
(699,1397,745,1489,929,1857,1665,1281,513,1025,1,1),
|
4829 |
|
|
(700,1399,749,1497,945,1889,1729,1409,769,1537,1025,1),
|
4830 |
|
|
(701,1401,753,1505,961,1921,1793,1537,1025,1,1,1),
|
4831 |
|
|
(702,1403,757,1513,977,1953,1857,1665,1281,513,1025,1),
|
4832 |
|
|
(703,1405,761,1521,993,1985,1921,1793,1537,1025,1,1),
|
4833 |
|
|
(704,1407,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
4834 |
|
|
(705,1409,769,1537,1025,1,1,1,1,1,1,1),
|
4835 |
|
|
(706,1411,773,1545,1041,33,65,129,257,513,1025,1),
|
4836 |
|
|
(707,1413,777,1553,1057,65,129,257,513,1025,1,1),
|
4837 |
|
|
(708,1415,781,1561,1073,97,193,385,769,1537,1025,1),
|
4838 |
|
|
(709,1417,785,1569,1089,129,257,513,1025,1,1,1),
|
4839 |
|
|
(710,1419,789,1577,1105,161,321,641,1281,513,1025,1),
|
4840 |
|
|
(711,1421,793,1585,1121,193,385,769,1537,1025,1,1),
|
4841 |
|
|
(712,1423,797,1593,1137,225,449,897,1793,1537,1025,1),
|
4842 |
|
|
(713,1425,801,1601,1153,257,513,1025,1,1,1,1),
|
4843 |
|
|
(714,1427,805,1609,1169,289,577,1153,257,513,1025,1),
|
4844 |
|
|
(715,1429,809,1617,1185,321,641,1281,513,1025,1,1),
|
4845 |
|
|
(716,1431,813,1625,1201,353,705,1409,769,1537,1025,1),
|
4846 |
|
|
(717,1433,817,1633,1217,385,769,1537,1025,1,1,1),
|
4847 |
|
|
(718,1435,821,1641,1233,417,833,1665,1281,513,1025,1),
|
4848 |
|
|
(719,1437,825,1649,1249,449,897,1793,1537,1025,1,1),
|
4849 |
|
|
(720,1439,829,1657,1265,481,961,1921,1793,1537,1025,1),
|
4850 |
|
|
(721,1441,833,1665,1281,513,1025,1,1,1,1,1),
|
4851 |
|
|
(722,1443,837,1673,1297,545,1089,129,257,513,1025,1),
|
4852 |
|
|
(723,1445,841,1681,1313,577,1153,257,513,1025,1,1),
|
4853 |
|
|
(724,1447,845,1689,1329,609,1217,385,769,1537,1025,1),
|
4854 |
|
|
(725,1449,849,1697,1345,641,1281,513,1025,1,1,1),
|
4855 |
|
|
(726,1451,853,1705,1361,673,1345,641,1281,513,1025,1),
|
4856 |
|
|
(727,1453,857,1713,1377,705,1409,769,1537,1025,1,1),
|
4857 |
|
|
(728,1455,861,1721,1393,737,1473,897,1793,1537,1025,1),
|
4858 |
|
|
(729,1457,865,1729,1409,769,1537,1025,1,1,1,1),
|
4859 |
|
|
(730,1459,869,1737,1425,801,1601,1153,257,513,1025,1),
|
4860 |
|
|
(731,1461,873,1745,1441,833,1665,1281,513,1025,1,1),
|
4861 |
|
|
(732,1463,877,1753,1457,865,1729,1409,769,1537,1025,1),
|
4862 |
|
|
(733,1465,881,1761,1473,897,1793,1537,1025,1,1,1),
|
4863 |
|
|
(734,1467,885,1769,1489,929,1857,1665,1281,513,1025,1),
|
4864 |
|
|
(735,1469,889,1777,1505,961,1921,1793,1537,1025,1,1),
|
4865 |
|
|
(736,1471,893,1785,1521,993,1985,1921,1793,1537,1025,1),
|
4866 |
|
|
(737,1473,897,1793,1537,1025,1,1,1,1,1,1),
|
4867 |
|
|
(738,1475,901,1801,1553,1057,65,129,257,513,1025,1),
|
4868 |
|
|
(739,1477,905,1809,1569,1089,129,257,513,1025,1,1),
|
4869 |
|
|
(740,1479,909,1817,1585,1121,193,385,769,1537,1025,1),
|
4870 |
|
|
(741,1481,913,1825,1601,1153,257,513,1025,1,1,1),
|
4871 |
|
|
(742,1483,917,1833,1617,1185,321,641,1281,513,1025,1),
|
4872 |
|
|
(743,1485,921,1841,1633,1217,385,769,1537,1025,1,1),
|
4873 |
|
|
(744,1487,925,1849,1649,1249,449,897,1793,1537,1025,1),
|
4874 |
|
|
(745,1489,929,1857,1665,1281,513,1025,1,1,1,1),
|
4875 |
|
|
(746,1491,933,1865,1681,1313,577,1153,257,513,1025,1),
|
4876 |
|
|
(747,1493,937,1873,1697,1345,641,1281,513,1025,1,1),
|
4877 |
|
|
(748,1495,941,1881,1713,1377,705,1409,769,1537,1025,1),
|
4878 |
|
|
(749,1497,945,1889,1729,1409,769,1537,1025,1,1,1),
|
4879 |
|
|
(750,1499,949,1897,1745,1441,833,1665,1281,513,1025,1),
|
4880 |
|
|
(751,1501,953,1905,1761,1473,897,1793,1537,1025,1,1),
|
4881 |
|
|
(752,1503,957,1913,1777,1505,961,1921,1793,1537,1025,1),
|
4882 |
|
|
(753,1505,961,1921,1793,1537,1025,1,1,1,1,1),
|
4883 |
|
|
(754,1507,965,1929,1809,1569,1089,129,257,513,1025,1),
|
4884 |
|
|
(755,1509,969,1937,1825,1601,1153,257,513,1025,1,1),
|
4885 |
|
|
(756,1511,973,1945,1841,1633,1217,385,769,1537,1025,1),
|
4886 |
|
|
(757,1513,977,1953,1857,1665,1281,513,1025,1,1,1),
|
4887 |
|
|
(758,1515,981,1961,1873,1697,1345,641,1281,513,1025,1),
|
4888 |
|
|
(759,1517,985,1969,1889,1729,1409,769,1537,1025,1,1),
|
4889 |
|
|
(760,1519,989,1977,1905,1761,1473,897,1793,1537,1025,1),
|
4890 |
|
|
(761,1521,993,1985,1921,1793,1537,1025,1,1,1,1),
|
4891 |
|
|
(762,1523,997,1993,1937,1825,1601,1153,257,513,1025,1),
|
4892 |
|
|
(763,1525,1001,2001,1953,1857,1665,1281,513,1025,1,1),
|
4893 |
|
|
(764,1527,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
|
4894 |
|
|
(765,1529,1009,2017,1985,1921,1793,1537,1025,1,1,1),
|
4895 |
|
|
(766,1531,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
|
4896 |
|
|
(767,1533,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
|
4897 |
|
|
(768,1535,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
|
4898 |
|
|
(769,1537,1025,1,1,1,1,1,1,1,1,1),
|
4899 |
|
|
(770,1539,1029,9,17,33,65,129,257,513,1025,1),
|
4900 |
|
|
(771,1541,1033,17,33,65,129,257,513,1025,1,1),
|
4901 |
|
|
(772,1543,1037,25,49,97,193,385,769,1537,1025,1),
|
4902 |
|
|
(773,1545,1041,33,65,129,257,513,1025,1,1,1),
|
4903 |
|
|
(774,1547,1045,41,81,161,321,641,1281,513,1025,1),
|
4904 |
|
|
(775,1549,1049,49,97,193,385,769,1537,1025,1,1),
|
4905 |
|
|
(776,1551,1053,57,113,225,449,897,1793,1537,1025,1),
|
4906 |
|
|
(777,1553,1057,65,129,257,513,1025,1,1,1,1),
|
4907 |
|
|
(778,1555,1061,73,145,289,577,1153,257,513,1025,1),
|
4908 |
|
|
(779,1557,1065,81,161,321,641,1281,513,1025,1,1),
|
4909 |
|
|
(780,1559,1069,89,177,353,705,1409,769,1537,1025,1),
|
4910 |
|
|
(781,1561,1073,97,193,385,769,1537,1025,1,1,1),
|
4911 |
|
|
(782,1563,1077,105,209,417,833,1665,1281,513,1025,1),
|
4912 |
|
|
(783,1565,1081,113,225,449,897,1793,1537,1025,1,1),
|
4913 |
|
|
(784,1567,1085,121,241,481,961,1921,1793,1537,1025,1),
|
4914 |
|
|
(785,1569,1089,129,257,513,1025,1,1,1,1,1),
|
4915 |
|
|
(786,1571,1093,137,273,545,1089,129,257,513,1025,1),
|
4916 |
|
|
(787,1573,1097,145,289,577,1153,257,513,1025,1,1),
|
4917 |
|
|
(788,1575,1101,153,305,609,1217,385,769,1537,1025,1),
|
4918 |
|
|
(789,1577,1105,161,321,641,1281,513,1025,1,1,1),
|
4919 |
|
|
(790,1579,1109,169,337,673,1345,641,1281,513,1025,1),
|
4920 |
|
|
(791,1581,1113,177,353,705,1409,769,1537,1025,1,1),
|
4921 |
|
|
(792,1583,1117,185,369,737,1473,897,1793,1537,1025,1),
|
4922 |
|
|
(793,1585,1121,193,385,769,1537,1025,1,1,1,1),
|
4923 |
|
|
(794,1587,1125,201,401,801,1601,1153,257,513,1025,1),
|
4924 |
|
|
(795,1589,1129,209,417,833,1665,1281,513,1025,1,1),
|
4925 |
|
|
(796,1591,1133,217,433,865,1729,1409,769,1537,1025,1),
|
4926 |
|
|
(797,1593,1137,225,449,897,1793,1537,1025,1,1,1),
|
4927 |
|
|
(798,1595,1141,233,465,929,1857,1665,1281,513,1025,1),
|
4928 |
|
|
(799,1597,1145,241,481,961,1921,1793,1537,1025,1,1),
|
4929 |
|
|
(800,1599,1149,249,497,993,1985,1921,1793,1537,1025,1),
|
4930 |
|
|
(801,1601,1153,257,513,1025,1,1,1,1,1,1),
|
4931 |
|
|
(802,1603,1157,265,529,1057,65,129,257,513,1025,1),
|
4932 |
|
|
(803,1605,1161,273,545,1089,129,257,513,1025,1,1),
|
4933 |
|
|
(804,1607,1165,281,561,1121,193,385,769,1537,1025,1),
|
4934 |
|
|
(805,1609,1169,289,577,1153,257,513,1025,1,1,1),
|
4935 |
|
|
(806,1611,1173,297,593,1185,321,641,1281,513,1025,1),
|
4936 |
|
|
(807,1613,1177,305,609,1217,385,769,1537,1025,1,1),
|
4937 |
|
|
(808,1615,1181,313,625,1249,449,897,1793,1537,1025,1),
|
4938 |
|
|
(809,1617,1185,321,641,1281,513,1025,1,1,1,1),
|
4939 |
|
|
(810,1619,1189,329,657,1313,577,1153,257,513,1025,1),
|
4940 |
|
|
(811,1621,1193,337,673,1345,641,1281,513,1025,1,1),
|
4941 |
|
|
(812,1623,1197,345,689,1377,705,1409,769,1537,1025,1),
|
4942 |
|
|
(813,1625,1201,353,705,1409,769,1537,1025,1,1,1),
|
4943 |
|
|
(814,1627,1205,361,721,1441,833,1665,1281,513,1025,1),
|
4944 |
|
|
(815,1629,1209,369,737,1473,897,1793,1537,1025,1,1),
|
4945 |
|
|
(816,1631,1213,377,753,1505,961,1921,1793,1537,1025,1),
|
4946 |
|
|
(817,1633,1217,385,769,1537,1025,1,1,1,1,1),
|
4947 |
|
|
(818,1635,1221,393,785,1569,1089,129,257,513,1025,1),
|
4948 |
|
|
(819,1637,1225,401,801,1601,1153,257,513,1025,1,1),
|
4949 |
|
|
(820,1639,1229,409,817,1633,1217,385,769,1537,1025,1),
|
4950 |
|
|
(821,1641,1233,417,833,1665,1281,513,1025,1,1,1),
|
4951 |
|
|
(822,1643,1237,425,849,1697,1345,641,1281,513,1025,1),
|
4952 |
|
|
(823,1645,1241,433,865,1729,1409,769,1537,1025,1,1),
|
4953 |
|
|
(824,1647,1245,441,881,1761,1473,897,1793,1537,1025,1),
|
4954 |
|
|
(825,1649,1249,449,897,1793,1537,1025,1,1,1,1),
|
4955 |
|
|
(826,1651,1253,457,913,1825,1601,1153,257,513,1025,1),
|
4956 |
|
|
(827,1653,1257,465,929,1857,1665,1281,513,1025,1,1),
|
4957 |
|
|
(828,1655,1261,473,945,1889,1729,1409,769,1537,1025,1),
|
4958 |
|
|
(829,1657,1265,481,961,1921,1793,1537,1025,1,1,1),
|
4959 |
|
|
(830,1659,1269,489,977,1953,1857,1665,1281,513,1025,1),
|
4960 |
|
|
(831,1661,1273,497,993,1985,1921,1793,1537,1025,1,1),
|
4961 |
|
|
(832,1663,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
|
4962 |
|
|
(833,1665,1281,513,1025,1,1,1,1,1,1,1),
|
4963 |
|
|
(834,1667,1285,521,1041,33,65,129,257,513,1025,1),
|
4964 |
|
|
(835,1669,1289,529,1057,65,129,257,513,1025,1,1),
|
4965 |
|
|
(836,1671,1293,537,1073,97,193,385,769,1537,1025,1),
|
4966 |
|
|
(837,1673,1297,545,1089,129,257,513,1025,1,1,1),
|
4967 |
|
|
(838,1675,1301,553,1105,161,321,641,1281,513,1025,1),
|
4968 |
|
|
(839,1677,1305,561,1121,193,385,769,1537,1025,1,1),
|
4969 |
|
|
(840,1679,1309,569,1137,225,449,897,1793,1537,1025,1),
|
4970 |
|
|
(841,1681,1313,577,1153,257,513,1025,1,1,1,1),
|
4971 |
|
|
(842,1683,1317,585,1169,289,577,1153,257,513,1025,1),
|
4972 |
|
|
(843,1685,1321,593,1185,321,641,1281,513,1025,1,1),
|
4973 |
|
|
(844,1687,1325,601,1201,353,705,1409,769,1537,1025,1),
|
4974 |
|
|
(845,1689,1329,609,1217,385,769,1537,1025,1,1,1),
|
4975 |
|
|
(846,1691,1333,617,1233,417,833,1665,1281,513,1025,1),
|
4976 |
|
|
(847,1693,1337,625,1249,449,897,1793,1537,1025,1,1),
|
4977 |
|
|
(848,1695,1341,633,1265,481,961,1921,1793,1537,1025,1),
|
4978 |
|
|
(849,1697,1345,641,1281,513,1025,1,1,1,1,1),
|
4979 |
|
|
(850,1699,1349,649,1297,545,1089,129,257,513,1025,1),
|
4980 |
|
|
(851,1701,1353,657,1313,577,1153,257,513,1025,1,1),
|
4981 |
|
|
(852,1703,1357,665,1329,609,1217,385,769,1537,1025,1),
|
4982 |
|
|
(853,1705,1361,673,1345,641,1281,513,1025,1,1,1),
|
4983 |
|
|
(854,1707,1365,681,1361,673,1345,641,1281,513,1025,1),
|
4984 |
|
|
(855,1709,1369,689,1377,705,1409,769,1537,1025,1,1),
|
4985 |
|
|
(856,1711,1373,697,1393,737,1473,897,1793,1537,1025,1),
|
4986 |
|
|
(857,1713,1377,705,1409,769,1537,1025,1,1,1,1),
|
4987 |
|
|
(858,1715,1381,713,1425,801,1601,1153,257,513,1025,1),
|
4988 |
|
|
(859,1717,1385,721,1441,833,1665,1281,513,1025,1,1),
|
4989 |
|
|
(860,1719,1389,729,1457,865,1729,1409,769,1537,1025,1),
|
4990 |
|
|
(861,1721,1393,737,1473,897,1793,1537,1025,1,1,1),
|
4991 |
|
|
(862,1723,1397,745,1489,929,1857,1665,1281,513,1025,1),
|
4992 |
|
|
(863,1725,1401,753,1505,961,1921,1793,1537,1025,1,1),
|
4993 |
|
|
(864,1727,1405,761,1521,993,1985,1921,1793,1537,1025,1),
|
4994 |
|
|
(865,1729,1409,769,1537,1025,1,1,1,1,1,1),
|
4995 |
|
|
(866,1731,1413,777,1553,1057,65,129,257,513,1025,1),
|
4996 |
|
|
(867,1733,1417,785,1569,1089,129,257,513,1025,1,1),
|
4997 |
|
|
(868,1735,1421,793,1585,1121,193,385,769,1537,1025,1),
|
4998 |
|
|
(869,1737,1425,801,1601,1153,257,513,1025,1,1,1),
|
4999 |
|
|
(870,1739,1429,809,1617,1185,321,641,1281,513,1025,1),
|
5000 |
|
|
(871,1741,1433,817,1633,1217,385,769,1537,1025,1,1),
|
5001 |
|
|
(872,1743,1437,825,1649,1249,449,897,1793,1537,1025,1),
|
5002 |
|
|
(873,1745,1441,833,1665,1281,513,1025,1,1,1,1),
|
5003 |
|
|
(874,1747,1445,841,1681,1313,577,1153,257,513,1025,1),
|
5004 |
|
|
(875,1749,1449,849,1697,1345,641,1281,513,1025,1,1),
|
5005 |
|
|
(876,1751,1453,857,1713,1377,705,1409,769,1537,1025,1),
|
5006 |
|
|
(877,1753,1457,865,1729,1409,769,1537,1025,1,1,1),
|
5007 |
|
|
(878,1755,1461,873,1745,1441,833,1665,1281,513,1025,1),
|
5008 |
|
|
(879,1757,1465,881,1761,1473,897,1793,1537,1025,1,1),
|
5009 |
|
|
(880,1759,1469,889,1777,1505,961,1921,1793,1537,1025,1),
|
5010 |
|
|
(881,1761,1473,897,1793,1537,1025,1,1,1,1,1),
|
5011 |
|
|
(882,1763,1477,905,1809,1569,1089,129,257,513,1025,1),
|
5012 |
|
|
(883,1765,1481,913,1825,1601,1153,257,513,1025,1,1),
|
5013 |
|
|
(884,1767,1485,921,1841,1633,1217,385,769,1537,1025,1),
|
5014 |
|
|
(885,1769,1489,929,1857,1665,1281,513,1025,1,1,1),
|
5015 |
|
|
(886,1771,1493,937,1873,1697,1345,641,1281,513,1025,1),
|
5016 |
|
|
(887,1773,1497,945,1889,1729,1409,769,1537,1025,1,1),
|
5017 |
|
|
(888,1775,1501,953,1905,1761,1473,897,1793,1537,1025,1),
|
5018 |
|
|
(889,1777,1505,961,1921,1793,1537,1025,1,1,1,1),
|
5019 |
|
|
(890,1779,1509,969,1937,1825,1601,1153,257,513,1025,1),
|
5020 |
|
|
(891,1781,1513,977,1953,1857,1665,1281,513,1025,1,1),
|
5021 |
|
|
(892,1783,1517,985,1969,1889,1729,1409,769,1537,1025,1),
|
5022 |
|
|
(893,1785,1521,993,1985,1921,1793,1537,1025,1,1,1),
|
5023 |
|
|
(894,1787,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
|
5024 |
|
|
(895,1789,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
|
5025 |
|
|
(896,1791,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
5026 |
|
|
(897,1793,1537,1025,1,1,1,1,1,1,1,1),
|
5027 |
|
|
(898,1795,1541,1033,17,33,65,129,257,513,1025,1),
|
5028 |
|
|
(899,1797,1545,1041,33,65,129,257,513,1025,1,1),
|
5029 |
|
|
(900,1799,1549,1049,49,97,193,385,769,1537,1025,1),
|
5030 |
|
|
(901,1801,1553,1057,65,129,257,513,1025,1,1,1),
|
5031 |
|
|
(902,1803,1557,1065,81,161,321,641,1281,513,1025,1),
|
5032 |
|
|
(903,1805,1561,1073,97,193,385,769,1537,1025,1,1),
|
5033 |
|
|
(904,1807,1565,1081,113,225,449,897,1793,1537,1025,1),
|
5034 |
|
|
(905,1809,1569,1089,129,257,513,1025,1,1,1,1),
|
5035 |
|
|
(906,1811,1573,1097,145,289,577,1153,257,513,1025,1),
|
5036 |
|
|
(907,1813,1577,1105,161,321,641,1281,513,1025,1,1),
|
5037 |
|
|
(908,1815,1581,1113,177,353,705,1409,769,1537,1025,1),
|
5038 |
|
|
(909,1817,1585,1121,193,385,769,1537,1025,1,1,1),
|
5039 |
|
|
(910,1819,1589,1129,209,417,833,1665,1281,513,1025,1),
|
5040 |
|
|
(911,1821,1593,1137,225,449,897,1793,1537,1025,1,1),
|
5041 |
|
|
(912,1823,1597,1145,241,481,961,1921,1793,1537,1025,1),
|
5042 |
|
|
(913,1825,1601,1153,257,513,1025,1,1,1,1,1),
|
5043 |
|
|
(914,1827,1605,1161,273,545,1089,129,257,513,1025,1),
|
5044 |
|
|
(915,1829,1609,1169,289,577,1153,257,513,1025,1,1),
|
5045 |
|
|
(916,1831,1613,1177,305,609,1217,385,769,1537,1025,1),
|
5046 |
|
|
(917,1833,1617,1185,321,641,1281,513,1025,1,1,1),
|
5047 |
|
|
(918,1835,1621,1193,337,673,1345,641,1281,513,1025,1),
|
5048 |
|
|
(919,1837,1625,1201,353,705,1409,769,1537,1025,1,1),
|
5049 |
|
|
(920,1839,1629,1209,369,737,1473,897,1793,1537,1025,1),
|
5050 |
|
|
(921,1841,1633,1217,385,769,1537,1025,1,1,1,1),
|
5051 |
|
|
(922,1843,1637,1225,401,801,1601,1153,257,513,1025,1),
|
5052 |
|
|
(923,1845,1641,1233,417,833,1665,1281,513,1025,1,1),
|
5053 |
|
|
(924,1847,1645,1241,433,865,1729,1409,769,1537,1025,1),
|
5054 |
|
|
(925,1849,1649,1249,449,897,1793,1537,1025,1,1,1),
|
5055 |
|
|
(926,1851,1653,1257,465,929,1857,1665,1281,513,1025,1),
|
5056 |
|
|
(927,1853,1657,1265,481,961,1921,1793,1537,1025,1,1),
|
5057 |
|
|
(928,1855,1661,1273,497,993,1985,1921,1793,1537,1025,1),
|
5058 |
|
|
(929,1857,1665,1281,513,1025,1,1,1,1,1,1),
|
5059 |
|
|
(930,1859,1669,1289,529,1057,65,129,257,513,1025,1),
|
5060 |
|
|
(931,1861,1673,1297,545,1089,129,257,513,1025,1,1),
|
5061 |
|
|
(932,1863,1677,1305,561,1121,193,385,769,1537,1025,1),
|
5062 |
|
|
(933,1865,1681,1313,577,1153,257,513,1025,1,1,1),
|
5063 |
|
|
(934,1867,1685,1321,593,1185,321,641,1281,513,1025,1),
|
5064 |
|
|
(935,1869,1689,1329,609,1217,385,769,1537,1025,1,1),
|
5065 |
|
|
(936,1871,1693,1337,625,1249,449,897,1793,1537,1025,1),
|
5066 |
|
|
(937,1873,1697,1345,641,1281,513,1025,1,1,1,1),
|
5067 |
|
|
(938,1875,1701,1353,657,1313,577,1153,257,513,1025,1),
|
5068 |
|
|
(939,1877,1705,1361,673,1345,641,1281,513,1025,1,1),
|
5069 |
|
|
(940,1879,1709,1369,689,1377,705,1409,769,1537,1025,1),
|
5070 |
|
|
(941,1881,1713,1377,705,1409,769,1537,1025,1,1,1),
|
5071 |
|
|
(942,1883,1717,1385,721,1441,833,1665,1281,513,1025,1),
|
5072 |
|
|
(943,1885,1721,1393,737,1473,897,1793,1537,1025,1,1),
|
5073 |
|
|
(944,1887,1725,1401,753,1505,961,1921,1793,1537,1025,1),
|
5074 |
|
|
(945,1889,1729,1409,769,1537,1025,1,1,1,1,1),
|
5075 |
|
|
(946,1891,1733,1417,785,1569,1089,129,257,513,1025,1),
|
5076 |
|
|
(947,1893,1737,1425,801,1601,1153,257,513,1025,1,1),
|
5077 |
|
|
(948,1895,1741,1433,817,1633,1217,385,769,1537,1025,1),
|
5078 |
|
|
(949,1897,1745,1441,833,1665,1281,513,1025,1,1,1),
|
5079 |
|
|
(950,1899,1749,1449,849,1697,1345,641,1281,513,1025,1),
|
5080 |
|
|
(951,1901,1753,1457,865,1729,1409,769,1537,1025,1,1),
|
5081 |
|
|
(952,1903,1757,1465,881,1761,1473,897,1793,1537,1025,1),
|
5082 |
|
|
(953,1905,1761,1473,897,1793,1537,1025,1,1,1,1),
|
5083 |
|
|
(954,1907,1765,1481,913,1825,1601,1153,257,513,1025,1),
|
5084 |
|
|
(955,1909,1769,1489,929,1857,1665,1281,513,1025,1,1),
|
5085 |
|
|
(956,1911,1773,1497,945,1889,1729,1409,769,1537,1025,1),
|
5086 |
|
|
(957,1913,1777,1505,961,1921,1793,1537,1025,1,1,1),
|
5087 |
|
|
(958,1915,1781,1513,977,1953,1857,1665,1281,513,1025,1),
|
5088 |
|
|
(959,1917,1785,1521,993,1985,1921,1793,1537,1025,1,1),
|
5089 |
|
|
(960,1919,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
5090 |
|
|
(961,1921,1793,1537,1025,1,1,1,1,1,1,1),
|
5091 |
|
|
(962,1923,1797,1545,1041,33,65,129,257,513,1025,1),
|
5092 |
|
|
(963,1925,1801,1553,1057,65,129,257,513,1025,1,1),
|
5093 |
|
|
(964,1927,1805,1561,1073,97,193,385,769,1537,1025,1),
|
5094 |
|
|
(965,1929,1809,1569,1089,129,257,513,1025,1,1,1),
|
5095 |
|
|
(966,1931,1813,1577,1105,161,321,641,1281,513,1025,1),
|
5096 |
|
|
(967,1933,1817,1585,1121,193,385,769,1537,1025,1,1),
|
5097 |
|
|
(968,1935,1821,1593,1137,225,449,897,1793,1537,1025,1),
|
5098 |
|
|
(969,1937,1825,1601,1153,257,513,1025,1,1,1,1),
|
5099 |
|
|
(970,1939,1829,1609,1169,289,577,1153,257,513,1025,1),
|
5100 |
|
|
(971,1941,1833,1617,1185,321,641,1281,513,1025,1,1),
|
5101 |
|
|
(972,1943,1837,1625,1201,353,705,1409,769,1537,1025,1),
|
5102 |
|
|
(973,1945,1841,1633,1217,385,769,1537,1025,1,1,1),
|
5103 |
|
|
(974,1947,1845,1641,1233,417,833,1665,1281,513,1025,1),
|
5104 |
|
|
(975,1949,1849,1649,1249,449,897,1793,1537,1025,1,1),
|
5105 |
|
|
(976,1951,1853,1657,1265,481,961,1921,1793,1537,1025,1),
|
5106 |
|
|
(977,1953,1857,1665,1281,513,1025,1,1,1,1,1),
|
5107 |
|
|
(978,1955,1861,1673,1297,545,1089,129,257,513,1025,1),
|
5108 |
|
|
(979,1957,1865,1681,1313,577,1153,257,513,1025,1,1),
|
5109 |
|
|
(980,1959,1869,1689,1329,609,1217,385,769,1537,1025,1),
|
5110 |
|
|
(981,1961,1873,1697,1345,641,1281,513,1025,1,1,1),
|
5111 |
|
|
(982,1963,1877,1705,1361,673,1345,641,1281,513,1025,1),
|
5112 |
|
|
(983,1965,1881,1713,1377,705,1409,769,1537,1025,1,1),
|
5113 |
|
|
(984,1967,1885,1721,1393,737,1473,897,1793,1537,1025,1),
|
5114 |
|
|
(985,1969,1889,1729,1409,769,1537,1025,1,1,1,1),
|
5115 |
|
|
(986,1971,1893,1737,1425,801,1601,1153,257,513,1025,1),
|
5116 |
|
|
(987,1973,1897,1745,1441,833,1665,1281,513,1025,1,1),
|
5117 |
|
|
(988,1975,1901,1753,1457,865,1729,1409,769,1537,1025,1),
|
5118 |
|
|
(989,1977,1905,1761,1473,897,1793,1537,1025,1,1,1),
|
5119 |
|
|
(990,1979,1909,1769,1489,929,1857,1665,1281,513,1025,1),
|
5120 |
|
|
(991,1981,1913,1777,1505,961,1921,1793,1537,1025,1,1),
|
5121 |
|
|
(992,1983,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
|
5122 |
|
|
(993,1985,1921,1793,1537,1025,1,1,1,1,1,1),
|
5123 |
|
|
(994,1987,1925,1801,1553,1057,65,129,257,513,1025,1),
|
5124 |
|
|
(995,1989,1929,1809,1569,1089,129,257,513,1025,1,1),
|
5125 |
|
|
(996,1991,1933,1817,1585,1121,193,385,769,1537,1025,1),
|
5126 |
|
|
(997,1993,1937,1825,1601,1153,257,513,1025,1,1,1),
|
5127 |
|
|
(998,1995,1941,1833,1617,1185,321,641,1281,513,1025,1),
|
5128 |
|
|
(999,1997,1945,1841,1633,1217,385,769,1537,1025,1,1),
|
5129 |
|
|
(1000,1999,1949,1849,1649,1249,449,897,1793,1537,1025,1),
|
5130 |
|
|
(1001,2001,1953,1857,1665,1281,513,1025,1,1,1,1),
|
5131 |
|
|
(1002,2003,1957,1865,1681,1313,577,1153,257,513,1025,1),
|
5132 |
|
|
(1003,2005,1961,1873,1697,1345,641,1281,513,1025,1,1),
|
5133 |
|
|
(1004,2007,1965,1881,1713,1377,705,1409,769,1537,1025,1),
|
5134 |
|
|
(1005,2009,1969,1889,1729,1409,769,1537,1025,1,1,1),
|
5135 |
|
|
(1006,2011,1973,1897,1745,1441,833,1665,1281,513,1025,1),
|
5136 |
|
|
(1007,2013,1977,1905,1761,1473,897,1793,1537,1025,1,1),
|
5137 |
|
|
(1008,2015,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
|
5138 |
|
|
(1009,2017,1985,1921,1793,1537,1025,1,1,1,1,1),
|
5139 |
|
|
(1010,2019,1989,1929,1809,1569,1089,129,257,513,1025,1),
|
5140 |
|
|
(1011,2021,1993,1937,1825,1601,1153,257,513,1025,1,1),
|
5141 |
|
|
(1012,2023,1997,1945,1841,1633,1217,385,769,1537,1025,1),
|
5142 |
|
|
(1013,2025,2001,1953,1857,1665,1281,513,1025,1,1,1),
|
5143 |
|
|
(1014,2027,2005,1961,1873,1697,1345,641,1281,513,1025,1),
|
5144 |
|
|
(1015,2029,2009,1969,1889,1729,1409,769,1537,1025,1,1),
|
5145 |
|
|
(1016,2031,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
|
5146 |
|
|
(1017,2033,2017,1985,1921,1793,1537,1025,1,1,1,1),
|
5147 |
|
|
(1018,2035,2021,1993,1937,1825,1601,1153,257,513,1025,1),
|
5148 |
|
|
(1019,2037,2025,2001,1953,1857,1665,1281,513,1025,1,1),
|
5149 |
|
|
(1020,2039,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
|
5150 |
|
|
(1021,2041,2033,2017,1985,1921,1793,1537,1025,1,1,1),
|
5151 |
|
|
(1022,2043,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
|
5152 |
|
|
(1023,2045,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
|
5153 |
|
|
(1024,2047,2045,2041,2033,2017,1985,1921,1793,1537,1025,1),
|
5154 |
|
|
(1025,1,1,1,1,1,1,1,1,1,1,1),
|
5155 |
|
|
(1026,3,5,9,17,33,65,129,257,513,1025,1),
|
5156 |
|
|
(1027,5,9,17,33,65,129,257,513,1025,1,1),
|
5157 |
|
|
(1028,7,13,25,49,97,193,385,769,1537,1025,1),
|
5158 |
|
|
(1029,9,17,33,65,129,257,513,1025,1,1,1),
|
5159 |
|
|
(1030,11,21,41,81,161,321,641,1281,513,1025,1),
|
5160 |
|
|
(1031,13,25,49,97,193,385,769,1537,1025,1,1),
|
5161 |
|
|
(1032,15,29,57,113,225,449,897,1793,1537,1025,1),
|
5162 |
|
|
(1033,17,33,65,129,257,513,1025,1,1,1,1),
|
5163 |
|
|
(1034,19,37,73,145,289,577,1153,257,513,1025,1),
|
5164 |
|
|
(1035,21,41,81,161,321,641,1281,513,1025,1,1),
|
5165 |
|
|
(1036,23,45,89,177,353,705,1409,769,1537,1025,1),
|
5166 |
|
|
(1037,25,49,97,193,385,769,1537,1025,1,1,1),
|
5167 |
|
|
(1038,27,53,105,209,417,833,1665,1281,513,1025,1),
|
5168 |
|
|
(1039,29,57,113,225,449,897,1793,1537,1025,1,1),
|
5169 |
|
|
(1040,31,61,121,241,481,961,1921,1793,1537,1025,1),
|
5170 |
|
|
(1041,33,65,129,257,513,1025,1,1,1,1,1),
|
5171 |
|
|
(1042,35,69,137,273,545,1089,129,257,513,1025,1),
|
5172 |
|
|
(1043,37,73,145,289,577,1153,257,513,1025,1,1),
|
5173 |
|
|
(1044,39,77,153,305,609,1217,385,769,1537,1025,1),
|
5174 |
|
|
(1045,41,81,161,321,641,1281,513,1025,1,1,1),
|
5175 |
|
|
(1046,43,85,169,337,673,1345,641,1281,513,1025,1),
|
5176 |
|
|
(1047,45,89,177,353,705,1409,769,1537,1025,1,1),
|
5177 |
|
|
(1048,47,93,185,369,737,1473,897,1793,1537,1025,1),
|
5178 |
|
|
(1049,49,97,193,385,769,1537,1025,1,1,1,1),
|
5179 |
|
|
(1050,51,101,201,401,801,1601,1153,257,513,1025,1),
|
5180 |
|
|
(1051,53,105,209,417,833,1665,1281,513,1025,1,1),
|
5181 |
|
|
(1052,55,109,217,433,865,1729,1409,769,1537,1025,1),
|
5182 |
|
|
(1053,57,113,225,449,897,1793,1537,1025,1,1,1),
|
5183 |
|
|
(1054,59,117,233,465,929,1857,1665,1281,513,1025,1),
|
5184 |
|
|
(1055,61,121,241,481,961,1921,1793,1537,1025,1,1),
|
5185 |
|
|
(1056,63,125,249,497,993,1985,1921,1793,1537,1025,1),
|
5186 |
|
|
(1057,65,129,257,513,1025,1,1,1,1,1,1),
|
5187 |
|
|
(1058,67,133,265,529,1057,65,129,257,513,1025,1),
|
5188 |
|
|
(1059,69,137,273,545,1089,129,257,513,1025,1,1),
|
5189 |
|
|
(1060,71,141,281,561,1121,193,385,769,1537,1025,1),
|
5190 |
|
|
(1061,73,145,289,577,1153,257,513,1025,1,1,1),
|
5191 |
|
|
(1062,75,149,297,593,1185,321,641,1281,513,1025,1),
|
5192 |
|
|
(1063,77,153,305,609,1217,385,769,1537,1025,1,1),
|
5193 |
|
|
(1064,79,157,313,625,1249,449,897,1793,1537,1025,1),
|
5194 |
|
|
(1065,81,161,321,641,1281,513,1025,1,1,1,1),
|
5195 |
|
|
(1066,83,165,329,657,1313,577,1153,257,513,1025,1),
|
5196 |
|
|
(1067,85,169,337,673,1345,641,1281,513,1025,1,1),
|
5197 |
|
|
(1068,87,173,345,689,1377,705,1409,769,1537,1025,1),
|
5198 |
|
|
(1069,89,177,353,705,1409,769,1537,1025,1,1,1),
|
5199 |
|
|
(1070,91,181,361,721,1441,833,1665,1281,513,1025,1),
|
5200 |
|
|
(1071,93,185,369,737,1473,897,1793,1537,1025,1,1),
|
5201 |
|
|
(1072,95,189,377,753,1505,961,1921,1793,1537,1025,1),
|
5202 |
|
|
(1073,97,193,385,769,1537,1025,1,1,1,1,1),
|
5203 |
|
|
(1074,99,197,393,785,1569,1089,129,257,513,1025,1),
|
5204 |
|
|
(1075,101,201,401,801,1601,1153,257,513,1025,1,1),
|
5205 |
|
|
(1076,103,205,409,817,1633,1217,385,769,1537,1025,1),
|
5206 |
|
|
(1077,105,209,417,833,1665,1281,513,1025,1,1,1),
|
5207 |
|
|
(1078,107,213,425,849,1697,1345,641,1281,513,1025,1),
|
5208 |
|
|
(1079,109,217,433,865,1729,1409,769,1537,1025,1,1),
|
5209 |
|
|
(1080,111,221,441,881,1761,1473,897,1793,1537,1025,1),
|
5210 |
|
|
(1081,113,225,449,897,1793,1537,1025,1,1,1,1),
|
5211 |
|
|
(1082,115,229,457,913,1825,1601,1153,257,513,1025,1),
|
5212 |
|
|
(1083,117,233,465,929,1857,1665,1281,513,1025,1,1),
|
5213 |
|
|
(1084,119,237,473,945,1889,1729,1409,769,1537,1025,1),
|
5214 |
|
|
(1085,121,241,481,961,1921,1793,1537,1025,1,1,1),
|
5215 |
|
|
(1086,123,245,489,977,1953,1857,1665,1281,513,1025,1),
|
5216 |
|
|
(1087,125,249,497,993,1985,1921,1793,1537,1025,1,1),
|
5217 |
|
|
(1088,127,253,505,1009,2017,1985,1921,1793,1537,1025,1),
|
5218 |
|
|
(1089,129,257,513,1025,1,1,1,1,1,1,1),
|
5219 |
|
|
(1090,131,261,521,1041,33,65,129,257,513,1025,1),
|
5220 |
|
|
(1091,133,265,529,1057,65,129,257,513,1025,1,1),
|
5221 |
|
|
(1092,135,269,537,1073,97,193,385,769,1537,1025,1),
|
5222 |
|
|
(1093,137,273,545,1089,129,257,513,1025,1,1,1),
|
5223 |
|
|
(1094,139,277,553,1105,161,321,641,1281,513,1025,1),
|
5224 |
|
|
(1095,141,281,561,1121,193,385,769,1537,1025,1,1),
|
5225 |
|
|
(1096,143,285,569,1137,225,449,897,1793,1537,1025,1),
|
5226 |
|
|
(1097,145,289,577,1153,257,513,1025,1,1,1,1),
|
5227 |
|
|
(1098,147,293,585,1169,289,577,1153,257,513,1025,1),
|
5228 |
|
|
(1099,149,297,593,1185,321,641,1281,513,1025,1,1),
|
5229 |
|
|
(1100,151,301,601,1201,353,705,1409,769,1537,1025,1),
|
5230 |
|
|
(1101,153,305,609,1217,385,769,1537,1025,1,1,1),
|
5231 |
|
|
(1102,155,309,617,1233,417,833,1665,1281,513,1025,1),
|
5232 |
|
|
(1103,157,313,625,1249,449,897,1793,1537,1025,1,1),
|
5233 |
|
|
(1104,159,317,633,1265,481,961,1921,1793,1537,1025,1),
|
5234 |
|
|
(1105,161,321,641,1281,513,1025,1,1,1,1,1),
|
5235 |
|
|
(1106,163,325,649,1297,545,1089,129,257,513,1025,1),
|
5236 |
|
|
(1107,165,329,657,1313,577,1153,257,513,1025,1,1),
|
5237 |
|
|
(1108,167,333,665,1329,609,1217,385,769,1537,1025,1),
|
5238 |
|
|
(1109,169,337,673,1345,641,1281,513,1025,1,1,1),
|
5239 |
|
|
(1110,171,341,681,1361,673,1345,641,1281,513,1025,1),
|
5240 |
|
|
(1111,173,345,689,1377,705,1409,769,1537,1025,1,1),
|
5241 |
|
|
(1112,175,349,697,1393,737,1473,897,1793,1537,1025,1),
|
5242 |
|
|
(1113,177,353,705,1409,769,1537,1025,1,1,1,1),
|
5243 |
|
|
(1114,179,357,713,1425,801,1601,1153,257,513,1025,1),
|
5244 |
|
|
(1115,181,361,721,1441,833,1665,1281,513,1025,1,1),
|
5245 |
|
|
(1116,183,365,729,1457,865,1729,1409,769,1537,1025,1),
|
5246 |
|
|
(1117,185,369,737,1473,897,1793,1537,1025,1,1,1),
|
5247 |
|
|
(1118,187,373,745,1489,929,1857,1665,1281,513,1025,1),
|
5248 |
|
|
(1119,189,377,753,1505,961,1921,1793,1537,1025,1,1),
|
5249 |
|
|
(1120,191,381,761,1521,993,1985,1921,1793,1537,1025,1),
|
5250 |
|
|
(1121,193,385,769,1537,1025,1,1,1,1,1,1),
|
5251 |
|
|
(1122,195,389,777,1553,1057,65,129,257,513,1025,1),
|
5252 |
|
|
(1123,197,393,785,1569,1089,129,257,513,1025,1,1),
|
5253 |
|
|
(1124,199,397,793,1585,1121,193,385,769,1537,1025,1),
|
5254 |
|
|
(1125,201,401,801,1601,1153,257,513,1025,1,1,1),
|
5255 |
|
|
(1126,203,405,809,1617,1185,321,641,1281,513,1025,1),
|
5256 |
|
|
(1127,205,409,817,1633,1217,385,769,1537,1025,1,1),
|
5257 |
|
|
(1128,207,413,825,1649,1249,449,897,1793,1537,1025,1),
|
5258 |
|
|
(1129,209,417,833,1665,1281,513,1025,1,1,1,1),
|
5259 |
|
|
(1130,211,421,841,1681,1313,577,1153,257,513,1025,1),
|
5260 |
|
|
(1131,213,425,849,1697,1345,641,1281,513,1025,1,1),
|
5261 |
|
|
(1132,215,429,857,1713,1377,705,1409,769,1537,1025,1),
|
5262 |
|
|
(1133,217,433,865,1729,1409,769,1537,1025,1,1,1),
|
5263 |
|
|
(1134,219,437,873,1745,1441,833,1665,1281,513,1025,1),
|
5264 |
|
|
(1135,221,441,881,1761,1473,897,1793,1537,1025,1,1),
|
5265 |
|
|
(1136,223,445,889,1777,1505,961,1921,1793,1537,1025,1),
|
5266 |
|
|
(1137,225,449,897,1793,1537,1025,1,1,1,1,1),
|
5267 |
|
|
(1138,227,453,905,1809,1569,1089,129,257,513,1025,1),
|
5268 |
|
|
(1139,229,457,913,1825,1601,1153,257,513,1025,1,1),
|
5269 |
|
|
(1140,231,461,921,1841,1633,1217,385,769,1537,1025,1),
|
5270 |
|
|
(1141,233,465,929,1857,1665,1281,513,1025,1,1,1),
|
5271 |
|
|
(1142,235,469,937,1873,1697,1345,641,1281,513,1025,1),
|
5272 |
|
|
(1143,237,473,945,1889,1729,1409,769,1537,1025,1,1),
|
5273 |
|
|
(1144,239,477,953,1905,1761,1473,897,1793,1537,1025,1),
|
5274 |
|
|
(1145,241,481,961,1921,1793,1537,1025,1,1,1,1),
|
5275 |
|
|
(1146,243,485,969,1937,1825,1601,1153,257,513,1025,1),
|
5276 |
|
|
(1147,245,489,977,1953,1857,1665,1281,513,1025,1,1),
|
5277 |
|
|
(1148,247,493,985,1969,1889,1729,1409,769,1537,1025,1),
|
5278 |
|
|
(1149,249,497,993,1985,1921,1793,1537,1025,1,1,1),
|
5279 |
|
|
(1150,251,501,1001,2001,1953,1857,1665,1281,513,1025,1),
|
5280 |
|
|
(1151,253,505,1009,2017,1985,1921,1793,1537,1025,1,1),
|
5281 |
|
|
(1152,255,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
5282 |
|
|
(1153,257,513,1025,1,1,1,1,1,1,1,1),
|
5283 |
|
|
(1154,259,517,1033,17,33,65,129,257,513,1025,1),
|
5284 |
|
|
(1155,261,521,1041,33,65,129,257,513,1025,1,1),
|
5285 |
|
|
(1156,263,525,1049,49,97,193,385,769,1537,1025,1),
|
5286 |
|
|
(1157,265,529,1057,65,129,257,513,1025,1,1,1),
|
5287 |
|
|
(1158,267,533,1065,81,161,321,641,1281,513,1025,1),
|
5288 |
|
|
(1159,269,537,1073,97,193,385,769,1537,1025,1,1),
|
5289 |
|
|
(1160,271,541,1081,113,225,449,897,1793,1537,1025,1),
|
5290 |
|
|
(1161,273,545,1089,129,257,513,1025,1,1,1,1),
|
5291 |
|
|
(1162,275,549,1097,145,289,577,1153,257,513,1025,1),
|
5292 |
|
|
(1163,277,553,1105,161,321,641,1281,513,1025,1,1),
|
5293 |
|
|
(1164,279,557,1113,177,353,705,1409,769,1537,1025,1),
|
5294 |
|
|
(1165,281,561,1121,193,385,769,1537,1025,1,1,1),
|
5295 |
|
|
(1166,283,565,1129,209,417,833,1665,1281,513,1025,1),
|
5296 |
|
|
(1167,285,569,1137,225,449,897,1793,1537,1025,1,1),
|
5297 |
|
|
(1168,287,573,1145,241,481,961,1921,1793,1537,1025,1),
|
5298 |
|
|
(1169,289,577,1153,257,513,1025,1,1,1,1,1),
|
5299 |
|
|
(1170,291,581,1161,273,545,1089,129,257,513,1025,1),
|
5300 |
|
|
(1171,293,585,1169,289,577,1153,257,513,1025,1,1),
|
5301 |
|
|
(1172,295,589,1177,305,609,1217,385,769,1537,1025,1),
|
5302 |
|
|
(1173,297,593,1185,321,641,1281,513,1025,1,1,1),
|
5303 |
|
|
(1174,299,597,1193,337,673,1345,641,1281,513,1025,1),
|
5304 |
|
|
(1175,301,601,1201,353,705,1409,769,1537,1025,1,1),
|
5305 |
|
|
(1176,303,605,1209,369,737,1473,897,1793,1537,1025,1),
|
5306 |
|
|
(1177,305,609,1217,385,769,1537,1025,1,1,1,1),
|
5307 |
|
|
(1178,307,613,1225,401,801,1601,1153,257,513,1025,1),
|
5308 |
|
|
(1179,309,617,1233,417,833,1665,1281,513,1025,1,1),
|
5309 |
|
|
(1180,311,621,1241,433,865,1729,1409,769,1537,1025,1),
|
5310 |
|
|
(1181,313,625,1249,449,897,1793,1537,1025,1,1,1),
|
5311 |
|
|
(1182,315,629,1257,465,929,1857,1665,1281,513,1025,1),
|
5312 |
|
|
(1183,317,633,1265,481,961,1921,1793,1537,1025,1,1),
|
5313 |
|
|
(1184,319,637,1273,497,993,1985,1921,1793,1537,1025,1),
|
5314 |
|
|
(1185,321,641,1281,513,1025,1,1,1,1,1,1),
|
5315 |
|
|
(1186,323,645,1289,529,1057,65,129,257,513,1025,1),
|
5316 |
|
|
(1187,325,649,1297,545,1089,129,257,513,1025,1,1),
|
5317 |
|
|
(1188,327,653,1305,561,1121,193,385,769,1537,1025,1),
|
5318 |
|
|
(1189,329,657,1313,577,1153,257,513,1025,1,1,1),
|
5319 |
|
|
(1190,331,661,1321,593,1185,321,641,1281,513,1025,1),
|
5320 |
|
|
(1191,333,665,1329,609,1217,385,769,1537,1025,1,1),
|
5321 |
|
|
(1192,335,669,1337,625,1249,449,897,1793,1537,1025,1),
|
5322 |
|
|
(1193,337,673,1345,641,1281,513,1025,1,1,1,1),
|
5323 |
|
|
(1194,339,677,1353,657,1313,577,1153,257,513,1025,1),
|
5324 |
|
|
(1195,341,681,1361,673,1345,641,1281,513,1025,1,1),
|
5325 |
|
|
(1196,343,685,1369,689,1377,705,1409,769,1537,1025,1),
|
5326 |
|
|
(1197,345,689,1377,705,1409,769,1537,1025,1,1,1),
|
5327 |
|
|
(1198,347,693,1385,721,1441,833,1665,1281,513,1025,1),
|
5328 |
|
|
(1199,349,697,1393,737,1473,897,1793,1537,1025,1,1),
|
5329 |
|
|
(1200,351,701,1401,753,1505,961,1921,1793,1537,1025,1),
|
5330 |
|
|
(1201,353,705,1409,769,1537,1025,1,1,1,1,1),
|
5331 |
|
|
(1202,355,709,1417,785,1569,1089,129,257,513,1025,1),
|
5332 |
|
|
(1203,357,713,1425,801,1601,1153,257,513,1025,1,1),
|
5333 |
|
|
(1204,359,717,1433,817,1633,1217,385,769,1537,1025,1),
|
5334 |
|
|
(1205,361,721,1441,833,1665,1281,513,1025,1,1,1),
|
5335 |
|
|
(1206,363,725,1449,849,1697,1345,641,1281,513,1025,1),
|
5336 |
|
|
(1207,365,729,1457,865,1729,1409,769,1537,1025,1,1),
|
5337 |
|
|
(1208,367,733,1465,881,1761,1473,897,1793,1537,1025,1),
|
5338 |
|
|
(1209,369,737,1473,897,1793,1537,1025,1,1,1,1),
|
5339 |
|
|
(1210,371,741,1481,913,1825,1601,1153,257,513,1025,1),
|
5340 |
|
|
(1211,373,745,1489,929,1857,1665,1281,513,1025,1,1),
|
5341 |
|
|
(1212,375,749,1497,945,1889,1729,1409,769,1537,1025,1),
|
5342 |
|
|
(1213,377,753,1505,961,1921,1793,1537,1025,1,1,1),
|
5343 |
|
|
(1214,379,757,1513,977,1953,1857,1665,1281,513,1025,1),
|
5344 |
|
|
(1215,381,761,1521,993,1985,1921,1793,1537,1025,1,1),
|
5345 |
|
|
(1216,383,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
5346 |
|
|
(1217,385,769,1537,1025,1,1,1,1,1,1,1),
|
5347 |
|
|
(1218,387,773,1545,1041,33,65,129,257,513,1025,1),
|
5348 |
|
|
(1219,389,777,1553,1057,65,129,257,513,1025,1,1),
|
5349 |
|
|
(1220,391,781,1561,1073,97,193,385,769,1537,1025,1),
|
5350 |
|
|
(1221,393,785,1569,1089,129,257,513,1025,1,1,1),
|
5351 |
|
|
(1222,395,789,1577,1105,161,321,641,1281,513,1025,1),
|
5352 |
|
|
(1223,397,793,1585,1121,193,385,769,1537,1025,1,1),
|
5353 |
|
|
(1224,399,797,1593,1137,225,449,897,1793,1537,1025,1),
|
5354 |
|
|
(1225,401,801,1601,1153,257,513,1025,1,1,1,1),
|
5355 |
|
|
(1226,403,805,1609,1169,289,577,1153,257,513,1025,1),
|
5356 |
|
|
(1227,405,809,1617,1185,321,641,1281,513,1025,1,1),
|
5357 |
|
|
(1228,407,813,1625,1201,353,705,1409,769,1537,1025,1),
|
5358 |
|
|
(1229,409,817,1633,1217,385,769,1537,1025,1,1,1),
|
5359 |
|
|
(1230,411,821,1641,1233,417,833,1665,1281,513,1025,1),
|
5360 |
|
|
(1231,413,825,1649,1249,449,897,1793,1537,1025,1,1),
|
5361 |
|
|
(1232,415,829,1657,1265,481,961,1921,1793,1537,1025,1),
|
5362 |
|
|
(1233,417,833,1665,1281,513,1025,1,1,1,1,1),
|
5363 |
|
|
(1234,419,837,1673,1297,545,1089,129,257,513,1025,1),
|
5364 |
|
|
(1235,421,841,1681,1313,577,1153,257,513,1025,1,1),
|
5365 |
|
|
(1236,423,845,1689,1329,609,1217,385,769,1537,1025,1),
|
5366 |
|
|
(1237,425,849,1697,1345,641,1281,513,1025,1,1,1),
|
5367 |
|
|
(1238,427,853,1705,1361,673,1345,641,1281,513,1025,1),
|
5368 |
|
|
(1239,429,857,1713,1377,705,1409,769,1537,1025,1,1),
|
5369 |
|
|
(1240,431,861,1721,1393,737,1473,897,1793,1537,1025,1),
|
5370 |
|
|
(1241,433,865,1729,1409,769,1537,1025,1,1,1,1),
|
5371 |
|
|
(1242,435,869,1737,1425,801,1601,1153,257,513,1025,1),
|
5372 |
|
|
(1243,437,873,1745,1441,833,1665,1281,513,1025,1,1),
|
5373 |
|
|
(1244,439,877,1753,1457,865,1729,1409,769,1537,1025,1),
|
5374 |
|
|
(1245,441,881,1761,1473,897,1793,1537,1025,1,1,1),
|
5375 |
|
|
(1246,443,885,1769,1489,929,1857,1665,1281,513,1025,1),
|
5376 |
|
|
(1247,445,889,1777,1505,961,1921,1793,1537,1025,1,1),
|
5377 |
|
|
(1248,447,893,1785,1521,993,1985,1921,1793,1537,1025,1),
|
5378 |
|
|
(1249,449,897,1793,1537,1025,1,1,1,1,1,1),
|
5379 |
|
|
(1250,451,901,1801,1553,1057,65,129,257,513,1025,1),
|
5380 |
|
|
(1251,453,905,1809,1569,1089,129,257,513,1025,1,1),
|
5381 |
|
|
(1252,455,909,1817,1585,1121,193,385,769,1537,1025,1),
|
5382 |
|
|
(1253,457,913,1825,1601,1153,257,513,1025,1,1,1),
|
5383 |
|
|
(1254,459,917,1833,1617,1185,321,641,1281,513,1025,1),
|
5384 |
|
|
(1255,461,921,1841,1633,1217,385,769,1537,1025,1,1),
|
5385 |
|
|
(1256,463,925,1849,1649,1249,449,897,1793,1537,1025,1),
|
5386 |
|
|
(1257,465,929,1857,1665,1281,513,1025,1,1,1,1),
|
5387 |
|
|
(1258,467,933,1865,1681,1313,577,1153,257,513,1025,1),
|
5388 |
|
|
(1259,469,937,1873,1697,1345,641,1281,513,1025,1,1),
|
5389 |
|
|
(1260,471,941,1881,1713,1377,705,1409,769,1537,1025,1),
|
5390 |
|
|
(1261,473,945,1889,1729,1409,769,1537,1025,1,1,1),
|
5391 |
|
|
(1262,475,949,1897,1745,1441,833,1665,1281,513,1025,1),
|
5392 |
|
|
(1263,477,953,1905,1761,1473,897,1793,1537,1025,1,1),
|
5393 |
|
|
(1264,479,957,1913,1777,1505,961,1921,1793,1537,1025,1),
|
5394 |
|
|
(1265,481,961,1921,1793,1537,1025,1,1,1,1,1),
|
5395 |
|
|
(1266,483,965,1929,1809,1569,1089,129,257,513,1025,1),
|
5396 |
|
|
(1267,485,969,1937,1825,1601,1153,257,513,1025,1,1),
|
5397 |
|
|
(1268,487,973,1945,1841,1633,1217,385,769,1537,1025,1),
|
5398 |
|
|
(1269,489,977,1953,1857,1665,1281,513,1025,1,1,1),
|
5399 |
|
|
(1270,491,981,1961,1873,1697,1345,641,1281,513,1025,1),
|
5400 |
|
|
(1271,493,985,1969,1889,1729,1409,769,1537,1025,1,1),
|
5401 |
|
|
(1272,495,989,1977,1905,1761,1473,897,1793,1537,1025,1),
|
5402 |
|
|
(1273,497,993,1985,1921,1793,1537,1025,1,1,1,1),
|
5403 |
|
|
(1274,499,997,1993,1937,1825,1601,1153,257,513,1025,1),
|
5404 |
|
|
(1275,501,1001,2001,1953,1857,1665,1281,513,1025,1,1),
|
5405 |
|
|
(1276,503,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
|
5406 |
|
|
(1277,505,1009,2017,1985,1921,1793,1537,1025,1,1,1),
|
5407 |
|
|
(1278,507,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
|
5408 |
|
|
(1279,509,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
|
5409 |
|
|
(1280,511,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
|
5410 |
|
|
(1281,513,1025,1,1,1,1,1,1,1,1,1),
|
5411 |
|
|
(1282,515,1029,9,17,33,65,129,257,513,1025,1),
|
5412 |
|
|
(1283,517,1033,17,33,65,129,257,513,1025,1,1),
|
5413 |
|
|
(1284,519,1037,25,49,97,193,385,769,1537,1025,1),
|
5414 |
|
|
(1285,521,1041,33,65,129,257,513,1025,1,1,1),
|
5415 |
|
|
(1286,523,1045,41,81,161,321,641,1281,513,1025,1),
|
5416 |
|
|
(1287,525,1049,49,97,193,385,769,1537,1025,1,1),
|
5417 |
|
|
(1288,527,1053,57,113,225,449,897,1793,1537,1025,1),
|
5418 |
|
|
(1289,529,1057,65,129,257,513,1025,1,1,1,1),
|
5419 |
|
|
(1290,531,1061,73,145,289,577,1153,257,513,1025,1),
|
5420 |
|
|
(1291,533,1065,81,161,321,641,1281,513,1025,1,1),
|
5421 |
|
|
(1292,535,1069,89,177,353,705,1409,769,1537,1025,1),
|
5422 |
|
|
(1293,537,1073,97,193,385,769,1537,1025,1,1,1),
|
5423 |
|
|
(1294,539,1077,105,209,417,833,1665,1281,513,1025,1),
|
5424 |
|
|
(1295,541,1081,113,225,449,897,1793,1537,1025,1,1),
|
5425 |
|
|
(1296,543,1085,121,241,481,961,1921,1793,1537,1025,1),
|
5426 |
|
|
(1297,545,1089,129,257,513,1025,1,1,1,1,1),
|
5427 |
|
|
(1298,547,1093,137,273,545,1089,129,257,513,1025,1),
|
5428 |
|
|
(1299,549,1097,145,289,577,1153,257,513,1025,1,1),
|
5429 |
|
|
(1300,551,1101,153,305,609,1217,385,769,1537,1025,1),
|
5430 |
|
|
(1301,553,1105,161,321,641,1281,513,1025,1,1,1),
|
5431 |
|
|
(1302,555,1109,169,337,673,1345,641,1281,513,1025,1),
|
5432 |
|
|
(1303,557,1113,177,353,705,1409,769,1537,1025,1,1),
|
5433 |
|
|
(1304,559,1117,185,369,737,1473,897,1793,1537,1025,1),
|
5434 |
|
|
(1305,561,1121,193,385,769,1537,1025,1,1,1,1),
|
5435 |
|
|
(1306,563,1125,201,401,801,1601,1153,257,513,1025,1),
|
5436 |
|
|
(1307,565,1129,209,417,833,1665,1281,513,1025,1,1),
|
5437 |
|
|
(1308,567,1133,217,433,865,1729,1409,769,1537,1025,1),
|
5438 |
|
|
(1309,569,1137,225,449,897,1793,1537,1025,1,1,1),
|
5439 |
|
|
(1310,571,1141,233,465,929,1857,1665,1281,513,1025,1),
|
5440 |
|
|
(1311,573,1145,241,481,961,1921,1793,1537,1025,1,1),
|
5441 |
|
|
(1312,575,1149,249,497,993,1985,1921,1793,1537,1025,1),
|
5442 |
|
|
(1313,577,1153,257,513,1025,1,1,1,1,1,1),
|
5443 |
|
|
(1314,579,1157,265,529,1057,65,129,257,513,1025,1),
|
5444 |
|
|
(1315,581,1161,273,545,1089,129,257,513,1025,1,1),
|
5445 |
|
|
(1316,583,1165,281,561,1121,193,385,769,1537,1025,1),
|
5446 |
|
|
(1317,585,1169,289,577,1153,257,513,1025,1,1,1),
|
5447 |
|
|
(1318,587,1173,297,593,1185,321,641,1281,513,1025,1),
|
5448 |
|
|
(1319,589,1177,305,609,1217,385,769,1537,1025,1,1),
|
5449 |
|
|
(1320,591,1181,313,625,1249,449,897,1793,1537,1025,1),
|
5450 |
|
|
(1321,593,1185,321,641,1281,513,1025,1,1,1,1),
|
5451 |
|
|
(1322,595,1189,329,657,1313,577,1153,257,513,1025,1),
|
5452 |
|
|
(1323,597,1193,337,673,1345,641,1281,513,1025,1,1),
|
5453 |
|
|
(1324,599,1197,345,689,1377,705,1409,769,1537,1025,1),
|
5454 |
|
|
(1325,601,1201,353,705,1409,769,1537,1025,1,1,1),
|
5455 |
|
|
(1326,603,1205,361,721,1441,833,1665,1281,513,1025,1),
|
5456 |
|
|
(1327,605,1209,369,737,1473,897,1793,1537,1025,1,1),
|
5457 |
|
|
(1328,607,1213,377,753,1505,961,1921,1793,1537,1025,1),
|
5458 |
|
|
(1329,609,1217,385,769,1537,1025,1,1,1,1,1),
|
5459 |
|
|
(1330,611,1221,393,785,1569,1089,129,257,513,1025,1),
|
5460 |
|
|
(1331,613,1225,401,801,1601,1153,257,513,1025,1,1),
|
5461 |
|
|
(1332,615,1229,409,817,1633,1217,385,769,1537,1025,1),
|
5462 |
|
|
(1333,617,1233,417,833,1665,1281,513,1025,1,1,1),
|
5463 |
|
|
(1334,619,1237,425,849,1697,1345,641,1281,513,1025,1),
|
5464 |
|
|
(1335,621,1241,433,865,1729,1409,769,1537,1025,1,1),
|
5465 |
|
|
(1336,623,1245,441,881,1761,1473,897,1793,1537,1025,1),
|
5466 |
|
|
(1337,625,1249,449,897,1793,1537,1025,1,1,1,1),
|
5467 |
|
|
(1338,627,1253,457,913,1825,1601,1153,257,513,1025,1),
|
5468 |
|
|
(1339,629,1257,465,929,1857,1665,1281,513,1025,1,1),
|
5469 |
|
|
(1340,631,1261,473,945,1889,1729,1409,769,1537,1025,1),
|
5470 |
|
|
(1341,633,1265,481,961,1921,1793,1537,1025,1,1,1),
|
5471 |
|
|
(1342,635,1269,489,977,1953,1857,1665,1281,513,1025,1),
|
5472 |
|
|
(1343,637,1273,497,993,1985,1921,1793,1537,1025,1,1),
|
5473 |
|
|
(1344,639,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
|
5474 |
|
|
(1345,641,1281,513,1025,1,1,1,1,1,1,1),
|
5475 |
|
|
(1346,643,1285,521,1041,33,65,129,257,513,1025,1),
|
5476 |
|
|
(1347,645,1289,529,1057,65,129,257,513,1025,1,1),
|
5477 |
|
|
(1348,647,1293,537,1073,97,193,385,769,1537,1025,1),
|
5478 |
|
|
(1349,649,1297,545,1089,129,257,513,1025,1,1,1),
|
5479 |
|
|
(1350,651,1301,553,1105,161,321,641,1281,513,1025,1),
|
5480 |
|
|
(1351,653,1305,561,1121,193,385,769,1537,1025,1,1),
|
5481 |
|
|
(1352,655,1309,569,1137,225,449,897,1793,1537,1025,1),
|
5482 |
|
|
(1353,657,1313,577,1153,257,513,1025,1,1,1,1),
|
5483 |
|
|
(1354,659,1317,585,1169,289,577,1153,257,513,1025,1),
|
5484 |
|
|
(1355,661,1321,593,1185,321,641,1281,513,1025,1,1),
|
5485 |
|
|
(1356,663,1325,601,1201,353,705,1409,769,1537,1025,1),
|
5486 |
|
|
(1357,665,1329,609,1217,385,769,1537,1025,1,1,1),
|
5487 |
|
|
(1358,667,1333,617,1233,417,833,1665,1281,513,1025,1),
|
5488 |
|
|
(1359,669,1337,625,1249,449,897,1793,1537,1025,1,1),
|
5489 |
|
|
(1360,671,1341,633,1265,481,961,1921,1793,1537,1025,1),
|
5490 |
|
|
(1361,673,1345,641,1281,513,1025,1,1,1,1,1),
|
5491 |
|
|
(1362,675,1349,649,1297,545,1089,129,257,513,1025,1),
|
5492 |
|
|
(1363,677,1353,657,1313,577,1153,257,513,1025,1,1),
|
5493 |
|
|
(1364,679,1357,665,1329,609,1217,385,769,1537,1025,1),
|
5494 |
|
|
(1365,681,1361,673,1345,641,1281,513,1025,1,1,1),
|
5495 |
|
|
(1366,683,1365,681,1361,673,1345,641,1281,513,1025,1),
|
5496 |
|
|
(1367,685,1369,689,1377,705,1409,769,1537,1025,1,1),
|
5497 |
|
|
(1368,687,1373,697,1393,737,1473,897,1793,1537,1025,1),
|
5498 |
|
|
(1369,689,1377,705,1409,769,1537,1025,1,1,1,1),
|
5499 |
|
|
(1370,691,1381,713,1425,801,1601,1153,257,513,1025,1),
|
5500 |
|
|
(1371,693,1385,721,1441,833,1665,1281,513,1025,1,1),
|
5501 |
|
|
(1372,695,1389,729,1457,865,1729,1409,769,1537,1025,1),
|
5502 |
|
|
(1373,697,1393,737,1473,897,1793,1537,1025,1,1,1),
|
5503 |
|
|
(1374,699,1397,745,1489,929,1857,1665,1281,513,1025,1),
|
5504 |
|
|
(1375,701,1401,753,1505,961,1921,1793,1537,1025,1,1),
|
5505 |
|
|
(1376,703,1405,761,1521,993,1985,1921,1793,1537,1025,1),
|
5506 |
|
|
(1377,705,1409,769,1537,1025,1,1,1,1,1,1),
|
5507 |
|
|
(1378,707,1413,777,1553,1057,65,129,257,513,1025,1),
|
5508 |
|
|
(1379,709,1417,785,1569,1089,129,257,513,1025,1,1),
|
5509 |
|
|
(1380,711,1421,793,1585,1121,193,385,769,1537,1025,1),
|
5510 |
|
|
(1381,713,1425,801,1601,1153,257,513,1025,1,1,1),
|
5511 |
|
|
(1382,715,1429,809,1617,1185,321,641,1281,513,1025,1),
|
5512 |
|
|
(1383,717,1433,817,1633,1217,385,769,1537,1025,1,1),
|
5513 |
|
|
(1384,719,1437,825,1649,1249,449,897,1793,1537,1025,1),
|
5514 |
|
|
(1385,721,1441,833,1665,1281,513,1025,1,1,1,1),
|
5515 |
|
|
(1386,723,1445,841,1681,1313,577,1153,257,513,1025,1),
|
5516 |
|
|
(1387,725,1449,849,1697,1345,641,1281,513,1025,1,1),
|
5517 |
|
|
(1388,727,1453,857,1713,1377,705,1409,769,1537,1025,1),
|
5518 |
|
|
(1389,729,1457,865,1729,1409,769,1537,1025,1,1,1),
|
5519 |
|
|
(1390,731,1461,873,1745,1441,833,1665,1281,513,1025,1),
|
5520 |
|
|
(1391,733,1465,881,1761,1473,897,1793,1537,1025,1,1),
|
5521 |
|
|
(1392,735,1469,889,1777,1505,961,1921,1793,1537,1025,1),
|
5522 |
|
|
(1393,737,1473,897,1793,1537,1025,1,1,1,1,1),
|
5523 |
|
|
(1394,739,1477,905,1809,1569,1089,129,257,513,1025,1),
|
5524 |
|
|
(1395,741,1481,913,1825,1601,1153,257,513,1025,1,1),
|
5525 |
|
|
(1396,743,1485,921,1841,1633,1217,385,769,1537,1025,1),
|
5526 |
|
|
(1397,745,1489,929,1857,1665,1281,513,1025,1,1,1),
|
5527 |
|
|
(1398,747,1493,937,1873,1697,1345,641,1281,513,1025,1),
|
5528 |
|
|
(1399,749,1497,945,1889,1729,1409,769,1537,1025,1,1),
|
5529 |
|
|
(1400,751,1501,953,1905,1761,1473,897,1793,1537,1025,1),
|
5530 |
|
|
(1401,753,1505,961,1921,1793,1537,1025,1,1,1,1),
|
5531 |
|
|
(1402,755,1509,969,1937,1825,1601,1153,257,513,1025,1),
|
5532 |
|
|
(1403,757,1513,977,1953,1857,1665,1281,513,1025,1,1),
|
5533 |
|
|
(1404,759,1517,985,1969,1889,1729,1409,769,1537,1025,1),
|
5534 |
|
|
(1405,761,1521,993,1985,1921,1793,1537,1025,1,1,1),
|
5535 |
|
|
(1406,763,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
|
5536 |
|
|
(1407,765,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
|
5537 |
|
|
(1408,767,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
5538 |
|
|
(1409,769,1537,1025,1,1,1,1,1,1,1,1),
|
5539 |
|
|
(1410,771,1541,1033,17,33,65,129,257,513,1025,1),
|
5540 |
|
|
(1411,773,1545,1041,33,65,129,257,513,1025,1,1),
|
5541 |
|
|
(1412,775,1549,1049,49,97,193,385,769,1537,1025,1),
|
5542 |
|
|
(1413,777,1553,1057,65,129,257,513,1025,1,1,1),
|
5543 |
|
|
(1414,779,1557,1065,81,161,321,641,1281,513,1025,1),
|
5544 |
|
|
(1415,781,1561,1073,97,193,385,769,1537,1025,1,1),
|
5545 |
|
|
(1416,783,1565,1081,113,225,449,897,1793,1537,1025,1),
|
5546 |
|
|
(1417,785,1569,1089,129,257,513,1025,1,1,1,1),
|
5547 |
|
|
(1418,787,1573,1097,145,289,577,1153,257,513,1025,1),
|
5548 |
|
|
(1419,789,1577,1105,161,321,641,1281,513,1025,1,1),
|
5549 |
|
|
(1420,791,1581,1113,177,353,705,1409,769,1537,1025,1),
|
5550 |
|
|
(1421,793,1585,1121,193,385,769,1537,1025,1,1,1),
|
5551 |
|
|
(1422,795,1589,1129,209,417,833,1665,1281,513,1025,1),
|
5552 |
|
|
(1423,797,1593,1137,225,449,897,1793,1537,1025,1,1),
|
5553 |
|
|
(1424,799,1597,1145,241,481,961,1921,1793,1537,1025,1),
|
5554 |
|
|
(1425,801,1601,1153,257,513,1025,1,1,1,1,1),
|
5555 |
|
|
(1426,803,1605,1161,273,545,1089,129,257,513,1025,1),
|
5556 |
|
|
(1427,805,1609,1169,289,577,1153,257,513,1025,1,1),
|
5557 |
|
|
(1428,807,1613,1177,305,609,1217,385,769,1537,1025,1),
|
5558 |
|
|
(1429,809,1617,1185,321,641,1281,513,1025,1,1,1),
|
5559 |
|
|
(1430,811,1621,1193,337,673,1345,641,1281,513,1025,1),
|
5560 |
|
|
(1431,813,1625,1201,353,705,1409,769,1537,1025,1,1),
|
5561 |
|
|
(1432,815,1629,1209,369,737,1473,897,1793,1537,1025,1),
|
5562 |
|
|
(1433,817,1633,1217,385,769,1537,1025,1,1,1,1),
|
5563 |
|
|
(1434,819,1637,1225,401,801,1601,1153,257,513,1025,1),
|
5564 |
|
|
(1435,821,1641,1233,417,833,1665,1281,513,1025,1,1),
|
5565 |
|
|
(1436,823,1645,1241,433,865,1729,1409,769,1537,1025,1),
|
5566 |
|
|
(1437,825,1649,1249,449,897,1793,1537,1025,1,1,1),
|
5567 |
|
|
(1438,827,1653,1257,465,929,1857,1665,1281,513,1025,1),
|
5568 |
|
|
(1439,829,1657,1265,481,961,1921,1793,1537,1025,1,1),
|
5569 |
|
|
(1440,831,1661,1273,497,993,1985,1921,1793,1537,1025,1),
|
5570 |
|
|
(1441,833,1665,1281,513,1025,1,1,1,1,1,1),
|
5571 |
|
|
(1442,835,1669,1289,529,1057,65,129,257,513,1025,1),
|
5572 |
|
|
(1443,837,1673,1297,545,1089,129,257,513,1025,1,1),
|
5573 |
|
|
(1444,839,1677,1305,561,1121,193,385,769,1537,1025,1),
|
5574 |
|
|
(1445,841,1681,1313,577,1153,257,513,1025,1,1,1),
|
5575 |
|
|
(1446,843,1685,1321,593,1185,321,641,1281,513,1025,1),
|
5576 |
|
|
(1447,845,1689,1329,609,1217,385,769,1537,1025,1,1),
|
5577 |
|
|
(1448,847,1693,1337,625,1249,449,897,1793,1537,1025,1),
|
5578 |
|
|
(1449,849,1697,1345,641,1281,513,1025,1,1,1,1),
|
5579 |
|
|
(1450,851,1701,1353,657,1313,577,1153,257,513,1025,1),
|
5580 |
|
|
(1451,853,1705,1361,673,1345,641,1281,513,1025,1,1),
|
5581 |
|
|
(1452,855,1709,1369,689,1377,705,1409,769,1537,1025,1),
|
5582 |
|
|
(1453,857,1713,1377,705,1409,769,1537,1025,1,1,1),
|
5583 |
|
|
(1454,859,1717,1385,721,1441,833,1665,1281,513,1025,1),
|
5584 |
|
|
(1455,861,1721,1393,737,1473,897,1793,1537,1025,1,1),
|
5585 |
|
|
(1456,863,1725,1401,753,1505,961,1921,1793,1537,1025,1),
|
5586 |
|
|
(1457,865,1729,1409,769,1537,1025,1,1,1,1,1),
|
5587 |
|
|
(1458,867,1733,1417,785,1569,1089,129,257,513,1025,1),
|
5588 |
|
|
(1459,869,1737,1425,801,1601,1153,257,513,1025,1,1),
|
5589 |
|
|
(1460,871,1741,1433,817,1633,1217,385,769,1537,1025,1),
|
5590 |
|
|
(1461,873,1745,1441,833,1665,1281,513,1025,1,1,1),
|
5591 |
|
|
(1462,875,1749,1449,849,1697,1345,641,1281,513,1025,1),
|
5592 |
|
|
(1463,877,1753,1457,865,1729,1409,769,1537,1025,1,1),
|
5593 |
|
|
(1464,879,1757,1465,881,1761,1473,897,1793,1537,1025,1),
|
5594 |
|
|
(1465,881,1761,1473,897,1793,1537,1025,1,1,1,1),
|
5595 |
|
|
(1466,883,1765,1481,913,1825,1601,1153,257,513,1025,1),
|
5596 |
|
|
(1467,885,1769,1489,929,1857,1665,1281,513,1025,1,1),
|
5597 |
|
|
(1468,887,1773,1497,945,1889,1729,1409,769,1537,1025,1),
|
5598 |
|
|
(1469,889,1777,1505,961,1921,1793,1537,1025,1,1,1),
|
5599 |
|
|
(1470,891,1781,1513,977,1953,1857,1665,1281,513,1025,1),
|
5600 |
|
|
(1471,893,1785,1521,993,1985,1921,1793,1537,1025,1,1),
|
5601 |
|
|
(1472,895,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
5602 |
|
|
(1473,897,1793,1537,1025,1,1,1,1,1,1,1),
|
5603 |
|
|
(1474,899,1797,1545,1041,33,65,129,257,513,1025,1),
|
5604 |
|
|
(1475,901,1801,1553,1057,65,129,257,513,1025,1,1),
|
5605 |
|
|
(1476,903,1805,1561,1073,97,193,385,769,1537,1025,1),
|
5606 |
|
|
(1477,905,1809,1569,1089,129,257,513,1025,1,1,1),
|
5607 |
|
|
(1478,907,1813,1577,1105,161,321,641,1281,513,1025,1),
|
5608 |
|
|
(1479,909,1817,1585,1121,193,385,769,1537,1025,1,1),
|
5609 |
|
|
(1480,911,1821,1593,1137,225,449,897,1793,1537,1025,1),
|
5610 |
|
|
(1481,913,1825,1601,1153,257,513,1025,1,1,1,1),
|
5611 |
|
|
(1482,915,1829,1609,1169,289,577,1153,257,513,1025,1),
|
5612 |
|
|
(1483,917,1833,1617,1185,321,641,1281,513,1025,1,1),
|
5613 |
|
|
(1484,919,1837,1625,1201,353,705,1409,769,1537,1025,1),
|
5614 |
|
|
(1485,921,1841,1633,1217,385,769,1537,1025,1,1,1),
|
5615 |
|
|
(1486,923,1845,1641,1233,417,833,1665,1281,513,1025,1),
|
5616 |
|
|
(1487,925,1849,1649,1249,449,897,1793,1537,1025,1,1),
|
5617 |
|
|
(1488,927,1853,1657,1265,481,961,1921,1793,1537,1025,1),
|
5618 |
|
|
(1489,929,1857,1665,1281,513,1025,1,1,1,1,1),
|
5619 |
|
|
(1490,931,1861,1673,1297,545,1089,129,257,513,1025,1),
|
5620 |
|
|
(1491,933,1865,1681,1313,577,1153,257,513,1025,1,1),
|
5621 |
|
|
(1492,935,1869,1689,1329,609,1217,385,769,1537,1025,1),
|
5622 |
|
|
(1493,937,1873,1697,1345,641,1281,513,1025,1,1,1),
|
5623 |
|
|
(1494,939,1877,1705,1361,673,1345,641,1281,513,1025,1),
|
5624 |
|
|
(1495,941,1881,1713,1377,705,1409,769,1537,1025,1,1),
|
5625 |
|
|
(1496,943,1885,1721,1393,737,1473,897,1793,1537,1025,1),
|
5626 |
|
|
(1497,945,1889,1729,1409,769,1537,1025,1,1,1,1),
|
5627 |
|
|
(1498,947,1893,1737,1425,801,1601,1153,257,513,1025,1),
|
5628 |
|
|
(1499,949,1897,1745,1441,833,1665,1281,513,1025,1,1),
|
5629 |
|
|
(1500,951,1901,1753,1457,865,1729,1409,769,1537,1025,1),
|
5630 |
|
|
(1501,953,1905,1761,1473,897,1793,1537,1025,1,1,1),
|
5631 |
|
|
(1502,955,1909,1769,1489,929,1857,1665,1281,513,1025,1),
|
5632 |
|
|
(1503,957,1913,1777,1505,961,1921,1793,1537,1025,1,1),
|
5633 |
|
|
(1504,959,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
|
5634 |
|
|
(1505,961,1921,1793,1537,1025,1,1,1,1,1,1),
|
5635 |
|
|
(1506,963,1925,1801,1553,1057,65,129,257,513,1025,1),
|
5636 |
|
|
(1507,965,1929,1809,1569,1089,129,257,513,1025,1,1),
|
5637 |
|
|
(1508,967,1933,1817,1585,1121,193,385,769,1537,1025,1),
|
5638 |
|
|
(1509,969,1937,1825,1601,1153,257,513,1025,1,1,1),
|
5639 |
|
|
(1510,971,1941,1833,1617,1185,321,641,1281,513,1025,1),
|
5640 |
|
|
(1511,973,1945,1841,1633,1217,385,769,1537,1025,1,1),
|
5641 |
|
|
(1512,975,1949,1849,1649,1249,449,897,1793,1537,1025,1),
|
5642 |
|
|
(1513,977,1953,1857,1665,1281,513,1025,1,1,1,1),
|
5643 |
|
|
(1514,979,1957,1865,1681,1313,577,1153,257,513,1025,1),
|
5644 |
|
|
(1515,981,1961,1873,1697,1345,641,1281,513,1025,1,1),
|
5645 |
|
|
(1516,983,1965,1881,1713,1377,705,1409,769,1537,1025,1),
|
5646 |
|
|
(1517,985,1969,1889,1729,1409,769,1537,1025,1,1,1),
|
5647 |
|
|
(1518,987,1973,1897,1745,1441,833,1665,1281,513,1025,1),
|
5648 |
|
|
(1519,989,1977,1905,1761,1473,897,1793,1537,1025,1,1),
|
5649 |
|
|
(1520,991,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
|
5650 |
|
|
(1521,993,1985,1921,1793,1537,1025,1,1,1,1,1),
|
5651 |
|
|
(1522,995,1989,1929,1809,1569,1089,129,257,513,1025,1),
|
5652 |
|
|
(1523,997,1993,1937,1825,1601,1153,257,513,1025,1,1),
|
5653 |
|
|
(1524,999,1997,1945,1841,1633,1217,385,769,1537,1025,1),
|
5654 |
|
|
(1525,1001,2001,1953,1857,1665,1281,513,1025,1,1,1),
|
5655 |
|
|
(1526,1003,2005,1961,1873,1697,1345,641,1281,513,1025,1),
|
5656 |
|
|
(1527,1005,2009,1969,1889,1729,1409,769,1537,1025,1,1),
|
5657 |
|
|
(1528,1007,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
|
5658 |
|
|
(1529,1009,2017,1985,1921,1793,1537,1025,1,1,1,1),
|
5659 |
|
|
(1530,1011,2021,1993,1937,1825,1601,1153,257,513,1025,1),
|
5660 |
|
|
(1531,1013,2025,2001,1953,1857,1665,1281,513,1025,1,1),
|
5661 |
|
|
(1532,1015,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
|
5662 |
|
|
(1533,1017,2033,2017,1985,1921,1793,1537,1025,1,1,1),
|
5663 |
|
|
(1534,1019,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
|
5664 |
|
|
(1535,1021,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
|
5665 |
|
|
(1536,1023,2045,2041,2033,2017,1985,1921,1793,1537,1025,1),
|
5666 |
|
|
(1537,1025,1,1,1,1,1,1,1,1,1,1),
|
5667 |
|
|
(1538,1027,5,9,17,33,65,129,257,513,1025,1),
|
5668 |
|
|
(1539,1029,9,17,33,65,129,257,513,1025,1,1),
|
5669 |
|
|
(1540,1031,13,25,49,97,193,385,769,1537,1025,1),
|
5670 |
|
|
(1541,1033,17,33,65,129,257,513,1025,1,1,1),
|
5671 |
|
|
(1542,1035,21,41,81,161,321,641,1281,513,1025,1),
|
5672 |
|
|
(1543,1037,25,49,97,193,385,769,1537,1025,1,1),
|
5673 |
|
|
(1544,1039,29,57,113,225,449,897,1793,1537,1025,1),
|
5674 |
|
|
(1545,1041,33,65,129,257,513,1025,1,1,1,1),
|
5675 |
|
|
(1546,1043,37,73,145,289,577,1153,257,513,1025,1),
|
5676 |
|
|
(1547,1045,41,81,161,321,641,1281,513,1025,1,1),
|
5677 |
|
|
(1548,1047,45,89,177,353,705,1409,769,1537,1025,1),
|
5678 |
|
|
(1549,1049,49,97,193,385,769,1537,1025,1,1,1),
|
5679 |
|
|
(1550,1051,53,105,209,417,833,1665,1281,513,1025,1),
|
5680 |
|
|
(1551,1053,57,113,225,449,897,1793,1537,1025,1,1),
|
5681 |
|
|
(1552,1055,61,121,241,481,961,1921,1793,1537,1025,1),
|
5682 |
|
|
(1553,1057,65,129,257,513,1025,1,1,1,1,1),
|
5683 |
|
|
(1554,1059,69,137,273,545,1089,129,257,513,1025,1),
|
5684 |
|
|
(1555,1061,73,145,289,577,1153,257,513,1025,1,1),
|
5685 |
|
|
(1556,1063,77,153,305,609,1217,385,769,1537,1025,1),
|
5686 |
|
|
(1557,1065,81,161,321,641,1281,513,1025,1,1,1),
|
5687 |
|
|
(1558,1067,85,169,337,673,1345,641,1281,513,1025,1),
|
5688 |
|
|
(1559,1069,89,177,353,705,1409,769,1537,1025,1,1),
|
5689 |
|
|
(1560,1071,93,185,369,737,1473,897,1793,1537,1025,1),
|
5690 |
|
|
(1561,1073,97,193,385,769,1537,1025,1,1,1,1),
|
5691 |
|
|
(1562,1075,101,201,401,801,1601,1153,257,513,1025,1),
|
5692 |
|
|
(1563,1077,105,209,417,833,1665,1281,513,1025,1,1),
|
5693 |
|
|
(1564,1079,109,217,433,865,1729,1409,769,1537,1025,1),
|
5694 |
|
|
(1565,1081,113,225,449,897,1793,1537,1025,1,1,1),
|
5695 |
|
|
(1566,1083,117,233,465,929,1857,1665,1281,513,1025,1),
|
5696 |
|
|
(1567,1085,121,241,481,961,1921,1793,1537,1025,1,1),
|
5697 |
|
|
(1568,1087,125,249,497,993,1985,1921,1793,1537,1025,1),
|
5698 |
|
|
(1569,1089,129,257,513,1025,1,1,1,1,1,1),
|
5699 |
|
|
(1570,1091,133,265,529,1057,65,129,257,513,1025,1),
|
5700 |
|
|
(1571,1093,137,273,545,1089,129,257,513,1025,1,1),
|
5701 |
|
|
(1572,1095,141,281,561,1121,193,385,769,1537,1025,1),
|
5702 |
|
|
(1573,1097,145,289,577,1153,257,513,1025,1,1,1),
|
5703 |
|
|
(1574,1099,149,297,593,1185,321,641,1281,513,1025,1),
|
5704 |
|
|
(1575,1101,153,305,609,1217,385,769,1537,1025,1,1),
|
5705 |
|
|
(1576,1103,157,313,625,1249,449,897,1793,1537,1025,1),
|
5706 |
|
|
(1577,1105,161,321,641,1281,513,1025,1,1,1,1),
|
5707 |
|
|
(1578,1107,165,329,657,1313,577,1153,257,513,1025,1),
|
5708 |
|
|
(1579,1109,169,337,673,1345,641,1281,513,1025,1,1),
|
5709 |
|
|
(1580,1111,173,345,689,1377,705,1409,769,1537,1025,1),
|
5710 |
|
|
(1581,1113,177,353,705,1409,769,1537,1025,1,1,1),
|
5711 |
|
|
(1582,1115,181,361,721,1441,833,1665,1281,513,1025,1),
|
5712 |
|
|
(1583,1117,185,369,737,1473,897,1793,1537,1025,1,1),
|
5713 |
|
|
(1584,1119,189,377,753,1505,961,1921,1793,1537,1025,1),
|
5714 |
|
|
(1585,1121,193,385,769,1537,1025,1,1,1,1,1),
|
5715 |
|
|
(1586,1123,197,393,785,1569,1089,129,257,513,1025,1),
|
5716 |
|
|
(1587,1125,201,401,801,1601,1153,257,513,1025,1,1),
|
5717 |
|
|
(1588,1127,205,409,817,1633,1217,385,769,1537,1025,1),
|
5718 |
|
|
(1589,1129,209,417,833,1665,1281,513,1025,1,1,1),
|
5719 |
|
|
(1590,1131,213,425,849,1697,1345,641,1281,513,1025,1),
|
5720 |
|
|
(1591,1133,217,433,865,1729,1409,769,1537,1025,1,1),
|
5721 |
|
|
(1592,1135,221,441,881,1761,1473,897,1793,1537,1025,1),
|
5722 |
|
|
(1593,1137,225,449,897,1793,1537,1025,1,1,1,1),
|
5723 |
|
|
(1594,1139,229,457,913,1825,1601,1153,257,513,1025,1),
|
5724 |
|
|
(1595,1141,233,465,929,1857,1665,1281,513,1025,1,1),
|
5725 |
|
|
(1596,1143,237,473,945,1889,1729,1409,769,1537,1025,1),
|
5726 |
|
|
(1597,1145,241,481,961,1921,1793,1537,1025,1,1,1),
|
5727 |
|
|
(1598,1147,245,489,977,1953,1857,1665,1281,513,1025,1),
|
5728 |
|
|
(1599,1149,249,497,993,1985,1921,1793,1537,1025,1,1),
|
5729 |
|
|
(1600,1151,253,505,1009,2017,1985,1921,1793,1537,1025,1),
|
5730 |
|
|
(1601,1153,257,513,1025,1,1,1,1,1,1,1),
|
5731 |
|
|
(1602,1155,261,521,1041,33,65,129,257,513,1025,1),
|
5732 |
|
|
(1603,1157,265,529,1057,65,129,257,513,1025,1,1),
|
5733 |
|
|
(1604,1159,269,537,1073,97,193,385,769,1537,1025,1),
|
5734 |
|
|
(1605,1161,273,545,1089,129,257,513,1025,1,1,1),
|
5735 |
|
|
(1606,1163,277,553,1105,161,321,641,1281,513,1025,1),
|
5736 |
|
|
(1607,1165,281,561,1121,193,385,769,1537,1025,1,1),
|
5737 |
|
|
(1608,1167,285,569,1137,225,449,897,1793,1537,1025,1),
|
5738 |
|
|
(1609,1169,289,577,1153,257,513,1025,1,1,1,1),
|
5739 |
|
|
(1610,1171,293,585,1169,289,577,1153,257,513,1025,1),
|
5740 |
|
|
(1611,1173,297,593,1185,321,641,1281,513,1025,1,1),
|
5741 |
|
|
(1612,1175,301,601,1201,353,705,1409,769,1537,1025,1),
|
5742 |
|
|
(1613,1177,305,609,1217,385,769,1537,1025,1,1,1),
|
5743 |
|
|
(1614,1179,309,617,1233,417,833,1665,1281,513,1025,1),
|
5744 |
|
|
(1615,1181,313,625,1249,449,897,1793,1537,1025,1,1),
|
5745 |
|
|
(1616,1183,317,633,1265,481,961,1921,1793,1537,1025,1),
|
5746 |
|
|
(1617,1185,321,641,1281,513,1025,1,1,1,1,1),
|
5747 |
|
|
(1618,1187,325,649,1297,545,1089,129,257,513,1025,1),
|
5748 |
|
|
(1619,1189,329,657,1313,577,1153,257,513,1025,1,1),
|
5749 |
|
|
(1620,1191,333,665,1329,609,1217,385,769,1537,1025,1),
|
5750 |
|
|
(1621,1193,337,673,1345,641,1281,513,1025,1,1,1),
|
5751 |
|
|
(1622,1195,341,681,1361,673,1345,641,1281,513,1025,1),
|
5752 |
|
|
(1623,1197,345,689,1377,705,1409,769,1537,1025,1,1),
|
5753 |
|
|
(1624,1199,349,697,1393,737,1473,897,1793,1537,1025,1),
|
5754 |
|
|
(1625,1201,353,705,1409,769,1537,1025,1,1,1,1),
|
5755 |
|
|
(1626,1203,357,713,1425,801,1601,1153,257,513,1025,1),
|
5756 |
|
|
(1627,1205,361,721,1441,833,1665,1281,513,1025,1,1),
|
5757 |
|
|
(1628,1207,365,729,1457,865,1729,1409,769,1537,1025,1),
|
5758 |
|
|
(1629,1209,369,737,1473,897,1793,1537,1025,1,1,1),
|
5759 |
|
|
(1630,1211,373,745,1489,929,1857,1665,1281,513,1025,1),
|
5760 |
|
|
(1631,1213,377,753,1505,961,1921,1793,1537,1025,1,1),
|
5761 |
|
|
(1632,1215,381,761,1521,993,1985,1921,1793,1537,1025,1),
|
5762 |
|
|
(1633,1217,385,769,1537,1025,1,1,1,1,1,1),
|
5763 |
|
|
(1634,1219,389,777,1553,1057,65,129,257,513,1025,1),
|
5764 |
|
|
(1635,1221,393,785,1569,1089,129,257,513,1025,1,1),
|
5765 |
|
|
(1636,1223,397,793,1585,1121,193,385,769,1537,1025,1),
|
5766 |
|
|
(1637,1225,401,801,1601,1153,257,513,1025,1,1,1),
|
5767 |
|
|
(1638,1227,405,809,1617,1185,321,641,1281,513,1025,1),
|
5768 |
|
|
(1639,1229,409,817,1633,1217,385,769,1537,1025,1,1),
|
5769 |
|
|
(1640,1231,413,825,1649,1249,449,897,1793,1537,1025,1),
|
5770 |
|
|
(1641,1233,417,833,1665,1281,513,1025,1,1,1,1),
|
5771 |
|
|
(1642,1235,421,841,1681,1313,577,1153,257,513,1025,1),
|
5772 |
|
|
(1643,1237,425,849,1697,1345,641,1281,513,1025,1,1),
|
5773 |
|
|
(1644,1239,429,857,1713,1377,705,1409,769,1537,1025,1),
|
5774 |
|
|
(1645,1241,433,865,1729,1409,769,1537,1025,1,1,1),
|
5775 |
|
|
(1646,1243,437,873,1745,1441,833,1665,1281,513,1025,1),
|
5776 |
|
|
(1647,1245,441,881,1761,1473,897,1793,1537,1025,1,1),
|
5777 |
|
|
(1648,1247,445,889,1777,1505,961,1921,1793,1537,1025,1),
|
5778 |
|
|
(1649,1249,449,897,1793,1537,1025,1,1,1,1,1),
|
5779 |
|
|
(1650,1251,453,905,1809,1569,1089,129,257,513,1025,1),
|
5780 |
|
|
(1651,1253,457,913,1825,1601,1153,257,513,1025,1,1),
|
5781 |
|
|
(1652,1255,461,921,1841,1633,1217,385,769,1537,1025,1),
|
5782 |
|
|
(1653,1257,465,929,1857,1665,1281,513,1025,1,1,1),
|
5783 |
|
|
(1654,1259,469,937,1873,1697,1345,641,1281,513,1025,1),
|
5784 |
|
|
(1655,1261,473,945,1889,1729,1409,769,1537,1025,1,1),
|
5785 |
|
|
(1656,1263,477,953,1905,1761,1473,897,1793,1537,1025,1),
|
5786 |
|
|
(1657,1265,481,961,1921,1793,1537,1025,1,1,1,1),
|
5787 |
|
|
(1658,1267,485,969,1937,1825,1601,1153,257,513,1025,1),
|
5788 |
|
|
(1659,1269,489,977,1953,1857,1665,1281,513,1025,1,1),
|
5789 |
|
|
(1660,1271,493,985,1969,1889,1729,1409,769,1537,1025,1),
|
5790 |
|
|
(1661,1273,497,993,1985,1921,1793,1537,1025,1,1,1),
|
5791 |
|
|
(1662,1275,501,1001,2001,1953,1857,1665,1281,513,1025,1),
|
5792 |
|
|
(1663,1277,505,1009,2017,1985,1921,1793,1537,1025,1,1),
|
5793 |
|
|
(1664,1279,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
5794 |
|
|
(1665,1281,513,1025,1,1,1,1,1,1,1,1),
|
5795 |
|
|
(1666,1283,517,1033,17,33,65,129,257,513,1025,1),
|
5796 |
|
|
(1667,1285,521,1041,33,65,129,257,513,1025,1,1),
|
5797 |
|
|
(1668,1287,525,1049,49,97,193,385,769,1537,1025,1),
|
5798 |
|
|
(1669,1289,529,1057,65,129,257,513,1025,1,1,1),
|
5799 |
|
|
(1670,1291,533,1065,81,161,321,641,1281,513,1025,1),
|
5800 |
|
|
(1671,1293,537,1073,97,193,385,769,1537,1025,1,1),
|
5801 |
|
|
(1672,1295,541,1081,113,225,449,897,1793,1537,1025,1),
|
5802 |
|
|
(1673,1297,545,1089,129,257,513,1025,1,1,1,1),
|
5803 |
|
|
(1674,1299,549,1097,145,289,577,1153,257,513,1025,1),
|
5804 |
|
|
(1675,1301,553,1105,161,321,641,1281,513,1025,1,1),
|
5805 |
|
|
(1676,1303,557,1113,177,353,705,1409,769,1537,1025,1),
|
5806 |
|
|
(1677,1305,561,1121,193,385,769,1537,1025,1,1,1),
|
5807 |
|
|
(1678,1307,565,1129,209,417,833,1665,1281,513,1025,1),
|
5808 |
|
|
(1679,1309,569,1137,225,449,897,1793,1537,1025,1,1),
|
5809 |
|
|
(1680,1311,573,1145,241,481,961,1921,1793,1537,1025,1),
|
5810 |
|
|
(1681,1313,577,1153,257,513,1025,1,1,1,1,1),
|
5811 |
|
|
(1682,1315,581,1161,273,545,1089,129,257,513,1025,1),
|
5812 |
|
|
(1683,1317,585,1169,289,577,1153,257,513,1025,1,1),
|
5813 |
|
|
(1684,1319,589,1177,305,609,1217,385,769,1537,1025,1),
|
5814 |
|
|
(1685,1321,593,1185,321,641,1281,513,1025,1,1,1),
|
5815 |
|
|
(1686,1323,597,1193,337,673,1345,641,1281,513,1025,1),
|
5816 |
|
|
(1687,1325,601,1201,353,705,1409,769,1537,1025,1,1),
|
5817 |
|
|
(1688,1327,605,1209,369,737,1473,897,1793,1537,1025,1),
|
5818 |
|
|
(1689,1329,609,1217,385,769,1537,1025,1,1,1,1),
|
5819 |
|
|
(1690,1331,613,1225,401,801,1601,1153,257,513,1025,1),
|
5820 |
|
|
(1691,1333,617,1233,417,833,1665,1281,513,1025,1,1),
|
5821 |
|
|
(1692,1335,621,1241,433,865,1729,1409,769,1537,1025,1),
|
5822 |
|
|
(1693,1337,625,1249,449,897,1793,1537,1025,1,1,1),
|
5823 |
|
|
(1694,1339,629,1257,465,929,1857,1665,1281,513,1025,1),
|
5824 |
|
|
(1695,1341,633,1265,481,961,1921,1793,1537,1025,1,1),
|
5825 |
|
|
(1696,1343,637,1273,497,993,1985,1921,1793,1537,1025,1),
|
5826 |
|
|
(1697,1345,641,1281,513,1025,1,1,1,1,1,1),
|
5827 |
|
|
(1698,1347,645,1289,529,1057,65,129,257,513,1025,1),
|
5828 |
|
|
(1699,1349,649,1297,545,1089,129,257,513,1025,1,1),
|
5829 |
|
|
(1700,1351,653,1305,561,1121,193,385,769,1537,1025,1),
|
5830 |
|
|
(1701,1353,657,1313,577,1153,257,513,1025,1,1,1),
|
5831 |
|
|
(1702,1355,661,1321,593,1185,321,641,1281,513,1025,1),
|
5832 |
|
|
(1703,1357,665,1329,609,1217,385,769,1537,1025,1,1),
|
5833 |
|
|
(1704,1359,669,1337,625,1249,449,897,1793,1537,1025,1),
|
5834 |
|
|
(1705,1361,673,1345,641,1281,513,1025,1,1,1,1),
|
5835 |
|
|
(1706,1363,677,1353,657,1313,577,1153,257,513,1025,1),
|
5836 |
|
|
(1707,1365,681,1361,673,1345,641,1281,513,1025,1,1),
|
5837 |
|
|
(1708,1367,685,1369,689,1377,705,1409,769,1537,1025,1),
|
5838 |
|
|
(1709,1369,689,1377,705,1409,769,1537,1025,1,1,1),
|
5839 |
|
|
(1710,1371,693,1385,721,1441,833,1665,1281,513,1025,1),
|
5840 |
|
|
(1711,1373,697,1393,737,1473,897,1793,1537,1025,1,1),
|
5841 |
|
|
(1712,1375,701,1401,753,1505,961,1921,1793,1537,1025,1),
|
5842 |
|
|
(1713,1377,705,1409,769,1537,1025,1,1,1,1,1),
|
5843 |
|
|
(1714,1379,709,1417,785,1569,1089,129,257,513,1025,1),
|
5844 |
|
|
(1715,1381,713,1425,801,1601,1153,257,513,1025,1,1),
|
5845 |
|
|
(1716,1383,717,1433,817,1633,1217,385,769,1537,1025,1),
|
5846 |
|
|
(1717,1385,721,1441,833,1665,1281,513,1025,1,1,1),
|
5847 |
|
|
(1718,1387,725,1449,849,1697,1345,641,1281,513,1025,1),
|
5848 |
|
|
(1719,1389,729,1457,865,1729,1409,769,1537,1025,1,1),
|
5849 |
|
|
(1720,1391,733,1465,881,1761,1473,897,1793,1537,1025,1),
|
5850 |
|
|
(1721,1393,737,1473,897,1793,1537,1025,1,1,1,1),
|
5851 |
|
|
(1722,1395,741,1481,913,1825,1601,1153,257,513,1025,1),
|
5852 |
|
|
(1723,1397,745,1489,929,1857,1665,1281,513,1025,1,1),
|
5853 |
|
|
(1724,1399,749,1497,945,1889,1729,1409,769,1537,1025,1),
|
5854 |
|
|
(1725,1401,753,1505,961,1921,1793,1537,1025,1,1,1),
|
5855 |
|
|
(1726,1403,757,1513,977,1953,1857,1665,1281,513,1025,1),
|
5856 |
|
|
(1727,1405,761,1521,993,1985,1921,1793,1537,1025,1,1),
|
5857 |
|
|
(1728,1407,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
5858 |
|
|
(1729,1409,769,1537,1025,1,1,1,1,1,1,1),
|
5859 |
|
|
(1730,1411,773,1545,1041,33,65,129,257,513,1025,1),
|
5860 |
|
|
(1731,1413,777,1553,1057,65,129,257,513,1025,1,1),
|
5861 |
|
|
(1732,1415,781,1561,1073,97,193,385,769,1537,1025,1),
|
5862 |
|
|
(1733,1417,785,1569,1089,129,257,513,1025,1,1,1),
|
5863 |
|
|
(1734,1419,789,1577,1105,161,321,641,1281,513,1025,1),
|
5864 |
|
|
(1735,1421,793,1585,1121,193,385,769,1537,1025,1,1),
|
5865 |
|
|
(1736,1423,797,1593,1137,225,449,897,1793,1537,1025,1),
|
5866 |
|
|
(1737,1425,801,1601,1153,257,513,1025,1,1,1,1),
|
5867 |
|
|
(1738,1427,805,1609,1169,289,577,1153,257,513,1025,1),
|
5868 |
|
|
(1739,1429,809,1617,1185,321,641,1281,513,1025,1,1),
|
5869 |
|
|
(1740,1431,813,1625,1201,353,705,1409,769,1537,1025,1),
|
5870 |
|
|
(1741,1433,817,1633,1217,385,769,1537,1025,1,1,1),
|
5871 |
|
|
(1742,1435,821,1641,1233,417,833,1665,1281,513,1025,1),
|
5872 |
|
|
(1743,1437,825,1649,1249,449,897,1793,1537,1025,1,1),
|
5873 |
|
|
(1744,1439,829,1657,1265,481,961,1921,1793,1537,1025,1),
|
5874 |
|
|
(1745,1441,833,1665,1281,513,1025,1,1,1,1,1),
|
5875 |
|
|
(1746,1443,837,1673,1297,545,1089,129,257,513,1025,1),
|
5876 |
|
|
(1747,1445,841,1681,1313,577,1153,257,513,1025,1,1),
|
5877 |
|
|
(1748,1447,845,1689,1329,609,1217,385,769,1537,1025,1),
|
5878 |
|
|
(1749,1449,849,1697,1345,641,1281,513,1025,1,1,1),
|
5879 |
|
|
(1750,1451,853,1705,1361,673,1345,641,1281,513,1025,1),
|
5880 |
|
|
(1751,1453,857,1713,1377,705,1409,769,1537,1025,1,1),
|
5881 |
|
|
(1752,1455,861,1721,1393,737,1473,897,1793,1537,1025,1),
|
5882 |
|
|
(1753,1457,865,1729,1409,769,1537,1025,1,1,1,1),
|
5883 |
|
|
(1754,1459,869,1737,1425,801,1601,1153,257,513,1025,1),
|
5884 |
|
|
(1755,1461,873,1745,1441,833,1665,1281,513,1025,1,1),
|
5885 |
|
|
(1756,1463,877,1753,1457,865,1729,1409,769,1537,1025,1),
|
5886 |
|
|
(1757,1465,881,1761,1473,897,1793,1537,1025,1,1,1),
|
5887 |
|
|
(1758,1467,885,1769,1489,929,1857,1665,1281,513,1025,1),
|
5888 |
|
|
(1759,1469,889,1777,1505,961,1921,1793,1537,1025,1,1),
|
5889 |
|
|
(1760,1471,893,1785,1521,993,1985,1921,1793,1537,1025,1),
|
5890 |
|
|
(1761,1473,897,1793,1537,1025,1,1,1,1,1,1),
|
5891 |
|
|
(1762,1475,901,1801,1553,1057,65,129,257,513,1025,1),
|
5892 |
|
|
(1763,1477,905,1809,1569,1089,129,257,513,1025,1,1),
|
5893 |
|
|
(1764,1479,909,1817,1585,1121,193,385,769,1537,1025,1),
|
5894 |
|
|
(1765,1481,913,1825,1601,1153,257,513,1025,1,1,1),
|
5895 |
|
|
(1766,1483,917,1833,1617,1185,321,641,1281,513,1025,1),
|
5896 |
|
|
(1767,1485,921,1841,1633,1217,385,769,1537,1025,1,1),
|
5897 |
|
|
(1768,1487,925,1849,1649,1249,449,897,1793,1537,1025,1),
|
5898 |
|
|
(1769,1489,929,1857,1665,1281,513,1025,1,1,1,1),
|
5899 |
|
|
(1770,1491,933,1865,1681,1313,577,1153,257,513,1025,1),
|
5900 |
|
|
(1771,1493,937,1873,1697,1345,641,1281,513,1025,1,1),
|
5901 |
|
|
(1772,1495,941,1881,1713,1377,705,1409,769,1537,1025,1),
|
5902 |
|
|
(1773,1497,945,1889,1729,1409,769,1537,1025,1,1,1),
|
5903 |
|
|
(1774,1499,949,1897,1745,1441,833,1665,1281,513,1025,1),
|
5904 |
|
|
(1775,1501,953,1905,1761,1473,897,1793,1537,1025,1,1),
|
5905 |
|
|
(1776,1503,957,1913,1777,1505,961,1921,1793,1537,1025,1),
|
5906 |
|
|
(1777,1505,961,1921,1793,1537,1025,1,1,1,1,1),
|
5907 |
|
|
(1778,1507,965,1929,1809,1569,1089,129,257,513,1025,1),
|
5908 |
|
|
(1779,1509,969,1937,1825,1601,1153,257,513,1025,1,1),
|
5909 |
|
|
(1780,1511,973,1945,1841,1633,1217,385,769,1537,1025,1),
|
5910 |
|
|
(1781,1513,977,1953,1857,1665,1281,513,1025,1,1,1),
|
5911 |
|
|
(1782,1515,981,1961,1873,1697,1345,641,1281,513,1025,1),
|
5912 |
|
|
(1783,1517,985,1969,1889,1729,1409,769,1537,1025,1,1),
|
5913 |
|
|
(1784,1519,989,1977,1905,1761,1473,897,1793,1537,1025,1),
|
5914 |
|
|
(1785,1521,993,1985,1921,1793,1537,1025,1,1,1,1),
|
5915 |
|
|
(1786,1523,997,1993,1937,1825,1601,1153,257,513,1025,1),
|
5916 |
|
|
(1787,1525,1001,2001,1953,1857,1665,1281,513,1025,1,1),
|
5917 |
|
|
(1788,1527,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
|
5918 |
|
|
(1789,1529,1009,2017,1985,1921,1793,1537,1025,1,1,1),
|
5919 |
|
|
(1790,1531,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
|
5920 |
|
|
(1791,1533,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
|
5921 |
|
|
(1792,1535,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
|
5922 |
|
|
(1793,1537,1025,1,1,1,1,1,1,1,1,1),
|
5923 |
|
|
(1794,1539,1029,9,17,33,65,129,257,513,1025,1),
|
5924 |
|
|
(1795,1541,1033,17,33,65,129,257,513,1025,1,1),
|
5925 |
|
|
(1796,1543,1037,25,49,97,193,385,769,1537,1025,1),
|
5926 |
|
|
(1797,1545,1041,33,65,129,257,513,1025,1,1,1),
|
5927 |
|
|
(1798,1547,1045,41,81,161,321,641,1281,513,1025,1),
|
5928 |
|
|
(1799,1549,1049,49,97,193,385,769,1537,1025,1,1),
|
5929 |
|
|
(1800,1551,1053,57,113,225,449,897,1793,1537,1025,1),
|
5930 |
|
|
(1801,1553,1057,65,129,257,513,1025,1,1,1,1),
|
5931 |
|
|
(1802,1555,1061,73,145,289,577,1153,257,513,1025,1),
|
5932 |
|
|
(1803,1557,1065,81,161,321,641,1281,513,1025,1,1),
|
5933 |
|
|
(1804,1559,1069,89,177,353,705,1409,769,1537,1025,1),
|
5934 |
|
|
(1805,1561,1073,97,193,385,769,1537,1025,1,1,1),
|
5935 |
|
|
(1806,1563,1077,105,209,417,833,1665,1281,513,1025,1),
|
5936 |
|
|
(1807,1565,1081,113,225,449,897,1793,1537,1025,1,1),
|
5937 |
|
|
(1808,1567,1085,121,241,481,961,1921,1793,1537,1025,1),
|
5938 |
|
|
(1809,1569,1089,129,257,513,1025,1,1,1,1,1),
|
5939 |
|
|
(1810,1571,1093,137,273,545,1089,129,257,513,1025,1),
|
5940 |
|
|
(1811,1573,1097,145,289,577,1153,257,513,1025,1,1),
|
5941 |
|
|
(1812,1575,1101,153,305,609,1217,385,769,1537,1025,1),
|
5942 |
|
|
(1813,1577,1105,161,321,641,1281,513,1025,1,1,1),
|
5943 |
|
|
(1814,1579,1109,169,337,673,1345,641,1281,513,1025,1),
|
5944 |
|
|
(1815,1581,1113,177,353,705,1409,769,1537,1025,1,1),
|
5945 |
|
|
(1816,1583,1117,185,369,737,1473,897,1793,1537,1025,1),
|
5946 |
|
|
(1817,1585,1121,193,385,769,1537,1025,1,1,1,1),
|
5947 |
|
|
(1818,1587,1125,201,401,801,1601,1153,257,513,1025,1),
|
5948 |
|
|
(1819,1589,1129,209,417,833,1665,1281,513,1025,1,1),
|
5949 |
|
|
(1820,1591,1133,217,433,865,1729,1409,769,1537,1025,1),
|
5950 |
|
|
(1821,1593,1137,225,449,897,1793,1537,1025,1,1,1),
|
5951 |
|
|
(1822,1595,1141,233,465,929,1857,1665,1281,513,1025,1),
|
5952 |
|
|
(1823,1597,1145,241,481,961,1921,1793,1537,1025,1,1),
|
5953 |
|
|
(1824,1599,1149,249,497,993,1985,1921,1793,1537,1025,1),
|
5954 |
|
|
(1825,1601,1153,257,513,1025,1,1,1,1,1,1),
|
5955 |
|
|
(1826,1603,1157,265,529,1057,65,129,257,513,1025,1),
|
5956 |
|
|
(1827,1605,1161,273,545,1089,129,257,513,1025,1,1),
|
5957 |
|
|
(1828,1607,1165,281,561,1121,193,385,769,1537,1025,1),
|
5958 |
|
|
(1829,1609,1169,289,577,1153,257,513,1025,1,1,1),
|
5959 |
|
|
(1830,1611,1173,297,593,1185,321,641,1281,513,1025,1),
|
5960 |
|
|
(1831,1613,1177,305,609,1217,385,769,1537,1025,1,1),
|
5961 |
|
|
(1832,1615,1181,313,625,1249,449,897,1793,1537,1025,1),
|
5962 |
|
|
(1833,1617,1185,321,641,1281,513,1025,1,1,1,1),
|
5963 |
|
|
(1834,1619,1189,329,657,1313,577,1153,257,513,1025,1),
|
5964 |
|
|
(1835,1621,1193,337,673,1345,641,1281,513,1025,1,1),
|
5965 |
|
|
(1836,1623,1197,345,689,1377,705,1409,769,1537,1025,1),
|
5966 |
|
|
(1837,1625,1201,353,705,1409,769,1537,1025,1,1,1),
|
5967 |
|
|
(1838,1627,1205,361,721,1441,833,1665,1281,513,1025,1),
|
5968 |
|
|
(1839,1629,1209,369,737,1473,897,1793,1537,1025,1,1),
|
5969 |
|
|
(1840,1631,1213,377,753,1505,961,1921,1793,1537,1025,1),
|
5970 |
|
|
(1841,1633,1217,385,769,1537,1025,1,1,1,1,1),
|
5971 |
|
|
(1842,1635,1221,393,785,1569,1089,129,257,513,1025,1),
|
5972 |
|
|
(1843,1637,1225,401,801,1601,1153,257,513,1025,1,1),
|
5973 |
|
|
(1844,1639,1229,409,817,1633,1217,385,769,1537,1025,1),
|
5974 |
|
|
(1845,1641,1233,417,833,1665,1281,513,1025,1,1,1),
|
5975 |
|
|
(1846,1643,1237,425,849,1697,1345,641,1281,513,1025,1),
|
5976 |
|
|
(1847,1645,1241,433,865,1729,1409,769,1537,1025,1,1),
|
5977 |
|
|
(1848,1647,1245,441,881,1761,1473,897,1793,1537,1025,1),
|
5978 |
|
|
(1849,1649,1249,449,897,1793,1537,1025,1,1,1,1),
|
5979 |
|
|
(1850,1651,1253,457,913,1825,1601,1153,257,513,1025,1),
|
5980 |
|
|
(1851,1653,1257,465,929,1857,1665,1281,513,1025,1,1),
|
5981 |
|
|
(1852,1655,1261,473,945,1889,1729,1409,769,1537,1025,1),
|
5982 |
|
|
(1853,1657,1265,481,961,1921,1793,1537,1025,1,1,1),
|
5983 |
|
|
(1854,1659,1269,489,977,1953,1857,1665,1281,513,1025,1),
|
5984 |
|
|
(1855,1661,1273,497,993,1985,1921,1793,1537,1025,1,1),
|
5985 |
|
|
(1856,1663,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
|
5986 |
|
|
(1857,1665,1281,513,1025,1,1,1,1,1,1,1),
|
5987 |
|
|
(1858,1667,1285,521,1041,33,65,129,257,513,1025,1),
|
5988 |
|
|
(1859,1669,1289,529,1057,65,129,257,513,1025,1,1),
|
5989 |
|
|
(1860,1671,1293,537,1073,97,193,385,769,1537,1025,1),
|
5990 |
|
|
(1861,1673,1297,545,1089,129,257,513,1025,1,1,1),
|
5991 |
|
|
(1862,1675,1301,553,1105,161,321,641,1281,513,1025,1),
|
5992 |
|
|
(1863,1677,1305,561,1121,193,385,769,1537,1025,1,1),
|
5993 |
|
|
(1864,1679,1309,569,1137,225,449,897,1793,1537,1025,1),
|
5994 |
|
|
(1865,1681,1313,577,1153,257,513,1025,1,1,1,1),
|
5995 |
|
|
(1866,1683,1317,585,1169,289,577,1153,257,513,1025,1),
|
5996 |
|
|
(1867,1685,1321,593,1185,321,641,1281,513,1025,1,1),
|
5997 |
|
|
(1868,1687,1325,601,1201,353,705,1409,769,1537,1025,1),
|
5998 |
|
|
(1869,1689,1329,609,1217,385,769,1537,1025,1,1,1),
|
5999 |
|
|
(1870,1691,1333,617,1233,417,833,1665,1281,513,1025,1),
|
6000 |
|
|
(1871,1693,1337,625,1249,449,897,1793,1537,1025,1,1),
|
6001 |
|
|
(1872,1695,1341,633,1265,481,961,1921,1793,1537,1025,1),
|
6002 |
|
|
(1873,1697,1345,641,1281,513,1025,1,1,1,1,1),
|
6003 |
|
|
(1874,1699,1349,649,1297,545,1089,129,257,513,1025,1),
|
6004 |
|
|
(1875,1701,1353,657,1313,577,1153,257,513,1025,1,1),
|
6005 |
|
|
(1876,1703,1357,665,1329,609,1217,385,769,1537,1025,1),
|
6006 |
|
|
(1877,1705,1361,673,1345,641,1281,513,1025,1,1,1),
|
6007 |
|
|
(1878,1707,1365,681,1361,673,1345,641,1281,513,1025,1),
|
6008 |
|
|
(1879,1709,1369,689,1377,705,1409,769,1537,1025,1,1),
|
6009 |
|
|
(1880,1711,1373,697,1393,737,1473,897,1793,1537,1025,1),
|
6010 |
|
|
(1881,1713,1377,705,1409,769,1537,1025,1,1,1,1),
|
6011 |
|
|
(1882,1715,1381,713,1425,801,1601,1153,257,513,1025,1),
|
6012 |
|
|
(1883,1717,1385,721,1441,833,1665,1281,513,1025,1,1),
|
6013 |
|
|
(1884,1719,1389,729,1457,865,1729,1409,769,1537,1025,1),
|
6014 |
|
|
(1885,1721,1393,737,1473,897,1793,1537,1025,1,1,1),
|
6015 |
|
|
(1886,1723,1397,745,1489,929,1857,1665,1281,513,1025,1),
|
6016 |
|
|
(1887,1725,1401,753,1505,961,1921,1793,1537,1025,1,1),
|
6017 |
|
|
(1888,1727,1405,761,1521,993,1985,1921,1793,1537,1025,1),
|
6018 |
|
|
(1889,1729,1409,769,1537,1025,1,1,1,1,1,1),
|
6019 |
|
|
(1890,1731,1413,777,1553,1057,65,129,257,513,1025,1),
|
6020 |
|
|
(1891,1733,1417,785,1569,1089,129,257,513,1025,1,1),
|
6021 |
|
|
(1892,1735,1421,793,1585,1121,193,385,769,1537,1025,1),
|
6022 |
|
|
(1893,1737,1425,801,1601,1153,257,513,1025,1,1,1),
|
6023 |
|
|
(1894,1739,1429,809,1617,1185,321,641,1281,513,1025,1),
|
6024 |
|
|
(1895,1741,1433,817,1633,1217,385,769,1537,1025,1,1),
|
6025 |
|
|
(1896,1743,1437,825,1649,1249,449,897,1793,1537,1025,1),
|
6026 |
|
|
(1897,1745,1441,833,1665,1281,513,1025,1,1,1,1),
|
6027 |
|
|
(1898,1747,1445,841,1681,1313,577,1153,257,513,1025,1),
|
6028 |
|
|
(1899,1749,1449,849,1697,1345,641,1281,513,1025,1,1),
|
6029 |
|
|
(1900,1751,1453,857,1713,1377,705,1409,769,1537,1025,1),
|
6030 |
|
|
(1901,1753,1457,865,1729,1409,769,1537,1025,1,1,1),
|
6031 |
|
|
(1902,1755,1461,873,1745,1441,833,1665,1281,513,1025,1),
|
6032 |
|
|
(1903,1757,1465,881,1761,1473,897,1793,1537,1025,1,1),
|
6033 |
|
|
(1904,1759,1469,889,1777,1505,961,1921,1793,1537,1025,1),
|
6034 |
|
|
(1905,1761,1473,897,1793,1537,1025,1,1,1,1,1),
|
6035 |
|
|
(1906,1763,1477,905,1809,1569,1089,129,257,513,1025,1),
|
6036 |
|
|
(1907,1765,1481,913,1825,1601,1153,257,513,1025,1,1),
|
6037 |
|
|
(1908,1767,1485,921,1841,1633,1217,385,769,1537,1025,1),
|
6038 |
|
|
(1909,1769,1489,929,1857,1665,1281,513,1025,1,1,1),
|
6039 |
|
|
(1910,1771,1493,937,1873,1697,1345,641,1281,513,1025,1),
|
6040 |
|
|
(1911,1773,1497,945,1889,1729,1409,769,1537,1025,1,1),
|
6041 |
|
|
(1912,1775,1501,953,1905,1761,1473,897,1793,1537,1025,1),
|
6042 |
|
|
(1913,1777,1505,961,1921,1793,1537,1025,1,1,1,1),
|
6043 |
|
|
(1914,1779,1509,969,1937,1825,1601,1153,257,513,1025,1),
|
6044 |
|
|
(1915,1781,1513,977,1953,1857,1665,1281,513,1025,1,1),
|
6045 |
|
|
(1916,1783,1517,985,1969,1889,1729,1409,769,1537,1025,1),
|
6046 |
|
|
(1917,1785,1521,993,1985,1921,1793,1537,1025,1,1,1),
|
6047 |
|
|
(1918,1787,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
|
6048 |
|
|
(1919,1789,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
|
6049 |
|
|
(1920,1791,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
|
6050 |
|
|
(1921,1793,1537,1025,1,1,1,1,1,1,1,1),
|
6051 |
|
|
(1922,1795,1541,1033,17,33,65,129,257,513,1025,1),
|
6052 |
|
|
(1923,1797,1545,1041,33,65,129,257,513,1025,1,1),
|
6053 |
|
|
(1924,1799,1549,1049,49,97,193,385,769,1537,1025,1),
|
6054 |
|
|
(1925,1801,1553,1057,65,129,257,513,1025,1,1,1),
|
6055 |
|
|
(1926,1803,1557,1065,81,161,321,641,1281,513,1025,1),
|
6056 |
|
|
(1927,1805,1561,1073,97,193,385,769,1537,1025,1,1),
|
6057 |
|
|
(1928,1807,1565,1081,113,225,449,897,1793,1537,1025,1),
|
6058 |
|
|
(1929,1809,1569,1089,129,257,513,1025,1,1,1,1),
|
6059 |
|
|
(1930,1811,1573,1097,145,289,577,1153,257,513,1025,1),
|
6060 |
|
|
(1931,1813,1577,1105,161,321,641,1281,513,1025,1,1),
|
6061 |
|
|
(1932,1815,1581,1113,177,353,705,1409,769,1537,1025,1),
|
6062 |
|
|
(1933,1817,1585,1121,193,385,769,1537,1025,1,1,1),
|
6063 |
|
|
(1934,1819,1589,1129,209,417,833,1665,1281,513,1025,1),
|
6064 |
|
|
(1935,1821,1593,1137,225,449,897,1793,1537,1025,1,1),
|
6065 |
|
|
(1936,1823,1597,1145,241,481,961,1921,1793,1537,1025,1),
|
6066 |
|
|
(1937,1825,1601,1153,257,513,1025,1,1,1,1,1),
|
6067 |
|
|
(1938,1827,1605,1161,273,545,1089,129,257,513,1025,1),
|
6068 |
|
|
(1939,1829,1609,1169,289,577,1153,257,513,1025,1,1),
|
6069 |
|
|
(1940,1831,1613,1177,305,609,1217,385,769,1537,1025,1),
|
6070 |
|
|
(1941,1833,1617,1185,321,641,1281,513,1025,1,1,1),
|
6071 |
|
|
(1942,1835,1621,1193,337,673,1345,641,1281,513,1025,1),
|
6072 |
|
|
(1943,1837,1625,1201,353,705,1409,769,1537,1025,1,1),
|
6073 |
|
|
(1944,1839,1629,1209,369,737,1473,897,1793,1537,1025,1),
|
6074 |
|
|
(1945,1841,1633,1217,385,769,1537,1025,1,1,1,1),
|
6075 |
|
|
(1946,1843,1637,1225,401,801,1601,1153,257,513,1025,1),
|
6076 |
|
|
(1947,1845,1641,1233,417,833,1665,1281,513,1025,1,1),
|
6077 |
|
|
(1948,1847,1645,1241,433,865,1729,1409,769,1537,1025,1),
|
6078 |
|
|
(1949,1849,1649,1249,449,897,1793,1537,1025,1,1,1),
|
6079 |
|
|
(1950,1851,1653,1257,465,929,1857,1665,1281,513,1025,1),
|
6080 |
|
|
(1951,1853,1657,1265,481,961,1921,1793,1537,1025,1,1),
|
6081 |
|
|
(1952,1855,1661,1273,497,993,1985,1921,1793,1537,1025,1),
|
6082 |
|
|
(1953,1857,1665,1281,513,1025,1,1,1,1,1,1),
|
6083 |
|
|
(1954,1859,1669,1289,529,1057,65,129,257,513,1025,1),
|
6084 |
|
|
(1955,1861,1673,1297,545,1089,129,257,513,1025,1,1),
|
6085 |
|
|
(1956,1863,1677,1305,561,1121,193,385,769,1537,1025,1),
|
6086 |
|
|
(1957,1865,1681,1313,577,1153,257,513,1025,1,1,1),
|
6087 |
|
|
(1958,1867,1685,1321,593,1185,321,641,1281,513,1025,1),
|
6088 |
|
|
(1959,1869,1689,1329,609,1217,385,769,1537,1025,1,1),
|
6089 |
|
|
(1960,1871,1693,1337,625,1249,449,897,1793,1537,1025,1),
|
6090 |
|
|
(1961,1873,1697,1345,641,1281,513,1025,1,1,1,1),
|
6091 |
|
|
(1962,1875,1701,1353,657,1313,577,1153,257,513,1025,1),
|
6092 |
|
|
(1963,1877,1705,1361,673,1345,641,1281,513,1025,1,1),
|
6093 |
|
|
(1964,1879,1709,1369,689,1377,705,1409,769,1537,1025,1),
|
6094 |
|
|
(1965,1881,1713,1377,705,1409,769,1537,1025,1,1,1),
|
6095 |
|
|
(1966,1883,1717,1385,721,1441,833,1665,1281,513,1025,1),
|
6096 |
|
|
(1967,1885,1721,1393,737,1473,897,1793,1537,1025,1,1),
|
6097 |
|
|
(1968,1887,1725,1401,753,1505,961,1921,1793,1537,1025,1),
|
6098 |
|
|
(1969,1889,1729,1409,769,1537,1025,1,1,1,1,1),
|
6099 |
|
|
(1970,1891,1733,1417,785,1569,1089,129,257,513,1025,1),
|
6100 |
|
|
(1971,1893,1737,1425,801,1601,1153,257,513,1025,1,1),
|
6101 |
|
|
(1972,1895,1741,1433,817,1633,1217,385,769,1537,1025,1),
|
6102 |
|
|
(1973,1897,1745,1441,833,1665,1281,513,1025,1,1,1),
|
6103 |
|
|
(1974,1899,1749,1449,849,1697,1345,641,1281,513,1025,1),
|
6104 |
|
|
(1975,1901,1753,1457,865,1729,1409,769,1537,1025,1,1),
|
6105 |
|
|
(1976,1903,1757,1465,881,1761,1473,897,1793,1537,1025,1),
|
6106 |
|
|
(1977,1905,1761,1473,897,1793,1537,1025,1,1,1,1),
|
6107 |
|
|
(1978,1907,1765,1481,913,1825,1601,1153,257,513,1025,1),
|
6108 |
|
|
(1979,1909,1769,1489,929,1857,1665,1281,513,1025,1,1),
|
6109 |
|
|
(1980,1911,1773,1497,945,1889,1729,1409,769,1537,1025,1),
|
6110 |
|
|
(1981,1913,1777,1505,961,1921,1793,1537,1025,1,1,1),
|
6111 |
|
|
(1982,1915,1781,1513,977,1953,1857,1665,1281,513,1025,1),
|
6112 |
|
|
(1983,1917,1785,1521,993,1985,1921,1793,1537,1025,1,1),
|
6113 |
|
|
(1984,1919,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
|
6114 |
|
|
(1985,1921,1793,1537,1025,1,1,1,1,1,1,1),
|
6115 |
|
|
(1986,1923,1797,1545,1041,33,65,129,257,513,1025,1),
|
6116 |
|
|
(1987,1925,1801,1553,1057,65,129,257,513,1025,1,1),
|
6117 |
|
|
(1988,1927,1805,1561,1073,97,193,385,769,1537,1025,1),
|
6118 |
|
|
(1989,1929,1809,1569,1089,129,257,513,1025,1,1,1),
|
6119 |
|
|
(1990,1931,1813,1577,1105,161,321,641,1281,513,1025,1),
|
6120 |
|
|
(1991,1933,1817,1585,1121,193,385,769,1537,1025,1,1),
|
6121 |
|
|
(1992,1935,1821,1593,1137,225,449,897,1793,1537,1025,1),
|
6122 |
|
|
(1993,1937,1825,1601,1153,257,513,1025,1,1,1,1),
|
6123 |
|
|
(1994,1939,1829,1609,1169,289,577,1153,257,513,1025,1),
|
6124 |
|
|
(1995,1941,1833,1617,1185,321,641,1281,513,1025,1,1),
|
6125 |
|
|
(1996,1943,1837,1625,1201,353,705,1409,769,1537,1025,1),
|
6126 |
|
|
(1997,1945,1841,1633,1217,385,769,1537,1025,1,1,1),
|
6127 |
|
|
(1998,1947,1845,1641,1233,417,833,1665,1281,513,1025,1),
|
6128 |
|
|
(1999,1949,1849,1649,1249,449,897,1793,1537,1025,1,1),
|
6129 |
|
|
(2000,1951,1853,1657,1265,481,961,1921,1793,1537,1025,1),
|
6130 |
|
|
(2001,1953,1857,1665,1281,513,1025,1,1,1,1,1),
|
6131 |
|
|
(2002,1955,1861,1673,1297,545,1089,129,257,513,1025,1),
|
6132 |
|
|
(2003,1957,1865,1681,1313,577,1153,257,513,1025,1,1),
|
6133 |
|
|
(2004,1959,1869,1689,1329,609,1217,385,769,1537,1025,1),
|
6134 |
|
|
(2005,1961,1873,1697,1345,641,1281,513,1025,1,1,1),
|
6135 |
|
|
(2006,1963,1877,1705,1361,673,1345,641,1281,513,1025,1),
|
6136 |
|
|
(2007,1965,1881,1713,1377,705,1409,769,1537,1025,1,1),
|
6137 |
|
|
(2008,1967,1885,1721,1393,737,1473,897,1793,1537,1025,1),
|
6138 |
|
|
(2009,1969,1889,1729,1409,769,1537,1025,1,1,1,1),
|
6139 |
|
|
(2010,1971,1893,1737,1425,801,1601,1153,257,513,1025,1),
|
6140 |
|
|
(2011,1973,1897,1745,1441,833,1665,1281,513,1025,1,1),
|
6141 |
|
|
(2012,1975,1901,1753,1457,865,1729,1409,769,1537,1025,1),
|
6142 |
|
|
(2013,1977,1905,1761,1473,897,1793,1537,1025,1,1,1),
|
6143 |
|
|
(2014,1979,1909,1769,1489,929,1857,1665,1281,513,1025,1),
|
6144 |
|
|
(2015,1981,1913,1777,1505,961,1921,1793,1537,1025,1,1),
|
6145 |
|
|
(2016,1983,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
|
6146 |
|
|
(2017,1985,1921,1793,1537,1025,1,1,1,1,1,1),
|
6147 |
|
|
(2018,1987,1925,1801,1553,1057,65,129,257,513,1025,1),
|
6148 |
|
|
(2019,1989,1929,1809,1569,1089,129,257,513,1025,1,1),
|
6149 |
|
|
(2020,1991,1933,1817,1585,1121,193,385,769,1537,1025,1),
|
6150 |
|
|
(2021,1993,1937,1825,1601,1153,257,513,1025,1,1,1),
|
6151 |
|
|
(2022,1995,1941,1833,1617,1185,321,641,1281,513,1025,1),
|
6152 |
|
|
(2023,1997,1945,1841,1633,1217,385,769,1537,1025,1,1),
|
6153 |
|
|
(2024,1999,1949,1849,1649,1249,449,897,1793,1537,1025,1),
|
6154 |
|
|
(2025,2001,1953,1857,1665,1281,513,1025,1,1,1,1),
|
6155 |
|
|
(2026,2003,1957,1865,1681,1313,577,1153,257,513,1025,1),
|
6156 |
|
|
(2027,2005,1961,1873,1697,1345,641,1281,513,1025,1,1),
|
6157 |
|
|
(2028,2007,1965,1881,1713,1377,705,1409,769,1537,1025,1),
|
6158 |
|
|
(2029,2009,1969,1889,1729,1409,769,1537,1025,1,1,1),
|
6159 |
|
|
(2030,2011,1973,1897,1745,1441,833,1665,1281,513,1025,1),
|
6160 |
|
|
(2031,2013,1977,1905,1761,1473,897,1793,1537,1025,1,1),
|
6161 |
|
|
(2032,2015,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
|
6162 |
|
|
(2033,2017,1985,1921,1793,1537,1025,1,1,1,1,1),
|
6163 |
|
|
(2034,2019,1989,1929,1809,1569,1089,129,257,513,1025,1),
|
6164 |
|
|
(2035,2021,1993,1937,1825,1601,1153,257,513,1025,1,1),
|
6165 |
|
|
(2036,2023,1997,1945,1841,1633,1217,385,769,1537,1025,1),
|
6166 |
|
|
(2037,2025,2001,1953,1857,1665,1281,513,1025,1,1,1),
|
6167 |
|
|
(2038,2027,2005,1961,1873,1697,1345,641,1281,513,1025,1),
|
6168 |
|
|
(2039,2029,2009,1969,1889,1729,1409,769,1537,1025,1,1),
|
6169 |
|
|
(2040,2031,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
|
6170 |
|
|
(2041,2033,2017,1985,1921,1793,1537,1025,1,1,1,1),
|
6171 |
|
|
(2042,2035,2021,1993,1937,1825,1601,1153,257,513,1025,1),
|
6172 |
|
|
(2043,2037,2025,2001,1953,1857,1665,1281,513,1025,1,1),
|
6173 |
|
|
(2044,2039,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
|
6174 |
|
|
(2045,2041,2033,2017,1985,1921,1793,1537,1025,1,1,1),
|
6175 |
|
|
(2046,2043,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
|
6176 |
|
|
(2047,2045,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
|
6177 |
|
|
(2048,2047,2045,2041,2033,2017,1985,1921,1793,1537,1025,1)
|
6178 |
|
|
);
|
6179 |
|
|
|
6180 |
|
|
end package twiddlesPkg;
|