OpenCores
URL https://opencores.org/ocsvn/astron_r2sdf_fft/astron_r2sdf_fft/trunk

Subversion Repositories astron_r2sdf_fft

[/] [astron_r2sdf_fft/] [trunk/] [twiddlesPkg_wb.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
------------------------------------- 
2
--FILE GENERATED BY TWIDDLE GENERATOR 
3
--DO NOT EDIT THIS FILE !!! 
4
-------------------------------------
5
--Author        :R.T.Rajan      
6
--Date    :09-Nov-2011
7
--N       :17 # of FFT points 
8
--B       :16 # of twiddle bits 
9
--P       :4   over clocking rate 
10
-------------------------------------
11
 
12
 
13
Library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.numeric_std.all;
16
 
17
 package twiddlesPkg_wb is
18
 constant copyRightNotice: string
19
 := "Copyright 2009-2011 , ASTRON. All rights reserved.";
20
 
21
   subtype wTyp is std_logic_vector(15 downto 0);
22
   type wRowTyp is array( 1 to          17 ) of wTyp;
23
   type wMapTyp is array( integer range 0 to     31, integer range       4       downto 1) of natural;
24
 
25
   constant wRe: wRowTyp :=
26
  (
27
      b"0111111111111111",
28
      b"0111110110001001",
29
      b"0111011001000001",
30
      b"0110101001101101",
31
      b"0101101010000010",
32
      b"0100011100011100",
33
      b"0011000011111011",
34
      b"0001100011111001",
35
      b"0000000000000000",
36
      b"1110011100000110",
37
      b"1100111100000100",
38
      b"1011100011100011",
39
      b"1010010101111101",
40
      b"1001010110010010",
41
      b"1000100110111110",
42
      b"1000001001110110",
43
        b"1000000000000000"
44
         );
45
 
46
   constant wIm: wRowTyp :=
47
  (
48
      b"0111111111111111",
49
      b"0111110110001001",
50
      b"0111011001000001",
51
      b"0110101001101101",
52
      b"0101101010000010",
53
      b"0100011100011100",
54
      b"0011000011111011",
55
      b"0001100011111001",
56
      b"0000000000000000",
57
      b"1110011100000110",
58
      b"1100111100000100",
59
      b"1011100011100011",
60
      b"1010010101111101",
61
      b"1001010110010010",
62
      b"1000100110111110",
63
      b"1000001001110110",
64
        b"1000001001110110"
65
         );
66
 
67
   constant wMapRe: wMapTyp :=
68
  (
69
       (1,1,1,1),
70
       (1,2,3,4),
71
       (1,3,5,7),
72
       (1,4,7,10),
73
       (1,5,9,13),
74
       (1,6,11,16),
75
       (1,7,13,15),
76
       (1,8,15,12),
77
       (1,9,17,9),
78
       (1,10,15,6),
79
       (1,11,13,3),
80
       (1,12,11,2),
81
       (1,13,9,5),
82
       (1,14,7,8),
83
       (1,15,5,11),
84
       (1,16,3,14),
85
       (1,17,1,17),
86
       (1,16,3,14),
87
       (1,15,5,11),
88
       (1,14,7,8),
89
       (1,13,9,5),
90
       (1,12,11,2),
91
       (1,11,13,3),
92
       (1,10,15,6),
93
       (1,9,17,9),
94
       (1,8,15,12),
95
       (1,7,13,15),
96
       (1,6,11,16),
97
       (1,5,9,13),
98
       (1,4,7,10),
99
       (1,3,5,7),
100
       (1,2,3,4)
101
   );
102
 
103
   constant wMapIm: wMapTyp :=
104
  (
105
       (9,9,9,9),
106
       (9,8,7,6),
107
       (9,7,5,3),
108
       (9,6,3,2),
109
       (9,5,1,5),
110
       (9,4,3,8),
111
       (9,3,5,11),
112
       (9,2,7,14),
113
       (9,1,9,17),
114
       (9,2,11,14),
115
       (9,3,13,11),
116
       (9,4,15,8),
117
       (9,5,17,5),
118
       (9,6,15,2),
119
       (9,7,13,3),
120
       (9,8,11,6),
121
       (9,9,9,9),
122
       (9,10,7,12),
123
       (9,11,5,15),
124
       (9,12,3,16),
125
       (9,13,1,13),
126
       (9,14,3,10),
127
       (9,15,5,7),
128
       (9,16,7,4),
129
       (9,17,9,1),
130
       (9,16,11,4),
131
       (9,15,13,7),
132
       (9,14,15,10),
133
       (9,13,17,13),
134
       (9,12,15,16),
135
       (9,11,13,15),
136
       (9,10,11,12)
137
   );
138
 
139
 end package twiddlesPkg_wb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.