OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [common_paged_ram_r_w.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3 3 danv
-- Copyright 2020
4 2 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 3 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 2 danv
--
19
-------------------------------------------------------------------------------
20
 
21
-- Purpose: Multi page memory
22
-- Description:
23
--   When next_page_* pulses then the next access will occur in the next page.
24
-- Remarks:
25
-- . See common_paged_ram_crw_crw for details.
26
 
27 4 danv
LIBRARY IEEE; --, technology_lib;
28 2 danv
USE IEEE.std_logic_1164.ALL;
29
USE IEEE.numeric_std.ALL;
30
LIBRARY common_pkg_lib;
31
USE common_pkg_lib.common_pkg.ALL;
32 4 danv
--USE technology_lib.technology_select_pkg.ALL;
33 2 danv
 
34
ENTITY common_paged_ram_r_w IS
35
  GENERIC (
36 4 danv
    g_technology      : NATURAL := 0;
37 2 danv
    g_str             : STRING := "use_adr";
38
    g_data_w          : NATURAL;
39
    g_nof_pages       : NATURAL := 2;  -- >= 2
40
    g_page_sz         : NATURAL;
41
    g_wr_start_page   : NATURAL := 0;
42
    g_rd_start_page   : NATURAL := 0;
43
    g_rd_latency      : NATURAL := 1
44
  );
45
  PORT (
46
    rst          : IN  STD_LOGIC;
47
    clk          : IN  STD_LOGIC;
48
    clken        : IN  STD_LOGIC := '1';
49
    wr_next_page : IN  STD_LOGIC;
50
    wr_adr       : IN  STD_LOGIC_VECTOR(ceil_log2(g_page_sz)-1 DOWNTO 0) := (OTHERS=>'0');
51
    wr_en        : IN  STD_LOGIC := '0';
52
    wr_dat       : IN  STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0) := (OTHERS=>'0');
53
    rd_next_page : IN  STD_LOGIC;
54
    rd_adr       : IN  STD_LOGIC_VECTOR(ceil_log2(g_page_sz)-1 DOWNTO 0) := (OTHERS=>'0');
55
    rd_en        : IN  STD_LOGIC := '1';
56
    rd_dat       : OUT STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
57
    rd_val       : OUT STD_LOGIC
58
  );
59
END common_paged_ram_r_w;
60
 
61
 
62
ARCHITECTURE str OF common_paged_ram_r_w IS
63
 
64
BEGIN
65
 
66
  u_rw_rw : ENTITY work.common_paged_ram_rw_rw
67
  GENERIC MAP (
68
    g_technology     => g_technology,
69
    g_str            => g_str,
70
    g_data_w         => g_data_w,
71
    g_nof_pages      => g_nof_pages,
72
    g_page_sz        => g_page_sz,
73
    g_start_page_a   => g_wr_start_page,
74
    g_start_page_b   => g_rd_start_page,
75
    g_rd_latency     => g_rd_latency,
76
    g_true_dual_port => FALSE
77
  )
78
  PORT MAP (
79
    rst         => rst,
80
    clk         => clk,
81
    clken       => clken,
82
    next_page_a => wr_next_page,
83
    adr_a       => wr_adr,
84
    wr_en_a     => wr_en,
85
    wr_dat_a    => wr_dat,
86
    rd_en_a     => '0',
87
    rd_dat_a    => OPEN,
88
    rd_val_a    => OPEN,
89
    next_page_b => rd_next_page,
90
    adr_b       => rd_adr,
91
    wr_en_b     => '0',
92
    wr_dat_b    => (OTHERS=>'0'),
93
    rd_en_b     => rd_en,
94
    rd_dat_b    => rd_dat,
95
    rd_val_b    => rd_val
96
  );
97
 
98
END str;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.