OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [common_ram_crw_crw.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3
-- Copyright (C) 2014
4
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
-- This program is free software: you can redistribute it and/or modify
8
-- it under the terms of the GNU General Public License as published by
9
-- the Free Software Foundation, either version 3 of the License, or
10
-- (at your option) any later version.
11
--
12
-- This program is distributed in the hope that it will be useful,
13
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
14
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
-- GNU General Public License for more details.
16
--
17
-- You should have received a copy of the GNU General Public License
18
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
-------------------------------------------------------------------------------
21
 
22
LIBRARY IEEE, common_pkg_lib, common_components_lib, technology_lib, tech_ram_lib;
23
USE IEEE.std_logic_1164.ALL;
24
USE common_pkg_lib.common_pkg.ALL;
25
USE work.common_ram_pkg.ALL;
26
USE technology_lib.technology_select_pkg.ALL;
27
 
28
ENTITY common_ram_crw_crw IS
29
  GENERIC (
30
    g_technology     : NATURAL := c_tech_select_default;
31
    g_ram            : t_c_mem := c_mem_ram;
32
    g_init_file      : STRING  := "UNUSED";
33
    g_true_dual_port : BOOLEAN := TRUE
34
  );
35
  PORT (
36
    rst_a     : IN  STD_LOGIC := '0';
37
    rst_b     : IN  STD_LOGIC := '0';
38
    clk_a     : IN  STD_LOGIC;
39
    clk_b     : IN  STD_LOGIC;
40
    clken_a   : IN  STD_LOGIC := '1';
41
    clken_b   : IN  STD_LOGIC := '1';
42
    wr_en_a   : IN  STD_LOGIC := '0';
43
    wr_en_b   : IN  STD_LOGIC := '0';
44
    wr_dat_a  : IN  STD_LOGIC_VECTOR(g_ram.dat_w-1 DOWNTO 0) := (OTHERS=>'0');
45
    wr_dat_b  : IN  STD_LOGIC_VECTOR(g_ram.dat_w-1 DOWNTO 0) := (OTHERS=>'0');
46
    adr_a     : IN  STD_LOGIC_VECTOR(g_ram.adr_w-1 DOWNTO 0) := (OTHERS=>'0');
47
    adr_b     : IN  STD_LOGIC_VECTOR(g_ram.adr_w-1 DOWNTO 0) := (OTHERS=>'0');
48
    rd_en_a   : IN  STD_LOGIC := '1';
49
    rd_en_b   : IN  STD_LOGIC := '1';
50
    rd_dat_a  : OUT STD_LOGIC_VECTOR(g_ram.dat_w-1 DOWNTO 0);
51
    rd_dat_b  : OUT STD_LOGIC_VECTOR(g_ram.dat_w-1 DOWNTO 0);
52
    rd_val_a  : OUT STD_LOGIC;
53
    rd_val_b  : OUT STD_LOGIC
54
  );
55
END common_ram_crw_crw;
56
 
57
 
58
ARCHITECTURE str OF common_ram_crw_crw IS
59
 
60
  CONSTANT c_rd_latency : NATURAL := sel_a_b(g_ram.latency<2,            g_ram.latency,              2);  -- handle read latency 1 or 2 in RAM
61
  CONSTANT c_pipeline   : NATURAL := sel_a_b(g_ram.latency>c_rd_latency, g_ram.latency-c_rd_latency, 0);  -- handle rest of read latency > 2 in pipeline
62
 
63
  -- Intermediate signal for extra pipelining
64
  SIGNAL ram_rd_dat_a   : STD_LOGIC_VECTOR(rd_dat_a'RANGE);
65
  SIGNAL ram_rd_dat_b   : STD_LOGIC_VECTOR(rd_dat_b'RANGE);
66
 
67
  -- Map sl to single bit slv for rd_val pipelining
68
  SIGNAL ram_rd_en_a    : STD_LOGIC_VECTOR(0 DOWNTO 0);
69
  SIGNAL ram_rd_en_b    : STD_LOGIC_VECTOR(0 DOWNTO 0);
70
  SIGNAL ram_rd_val_a   : STD_LOGIC_VECTOR(0 DOWNTO 0);
71
  SIGNAL ram_rd_val_b   : STD_LOGIC_VECTOR(0 DOWNTO 0);
72
 
73
BEGIN
74
 
75
  ASSERT g_ram.latency >= 1
76
    REPORT "common_ram_crw_crw : only support read latency >= 1"
77
    SEVERITY FAILURE;
78
 
79
  -- memory access
80
  gen_true_dual_port : IF g_true_dual_port = TRUE GENERATE
81
    u_ram : ENTITY tech_ram_lib.tech_memory_ram_crw_crw
82
    GENERIC MAP (
83
      g_technology => g_technology,
84
      g_adr_w      => g_ram.adr_w,
85
      g_dat_w      => g_ram.dat_w,
86
      g_nof_words  => g_ram.nof_dat,
87
      g_rd_latency => c_rd_latency,
88
      g_init_file  => g_init_file
89
    )
90
    PORT MAP (
91
      clock_a     => clk_a,
92
      clock_b     => clk_b,
93
      enable_a    => clken_a,
94
      enable_b    => clken_b,
95
      wren_a      => wr_en_a,
96
      wren_b      => wr_en_b,
97
      data_a      => wr_dat_a,
98
      data_b      => wr_dat_b,
99
      address_a   => adr_a,
100
      address_b   => adr_b,
101
      q_a         => ram_rd_dat_a,
102
      q_b         => ram_rd_dat_b
103
    );
104
  END GENERATE;
105
 
106
  gen_simple_dual_port : IF g_true_dual_port = FALSE GENERATE
107
    u_ram : ENTITY tech_ram_lib.tech_memory_ram_cr_cw
108
    GENERIC MAP (
109
      g_technology => g_technology,
110
      g_adr_w      => g_ram.adr_w,
111
      g_dat_w      => g_ram.dat_w,
112
      g_nof_words  => g_ram.nof_dat,
113
      g_rd_latency => c_rd_latency,
114
      g_init_file  => g_init_file
115
    )
116
    PORT MAP
117
    (
118
      wrclock   => clk_a,
119
      wrclocken => clken_a,
120
      wren      => wr_en_a,
121
      wraddress => adr_a,
122
      data      => wr_dat_a,
123
      rdclock   => clk_b,
124
      rdclocken => clken_b,
125
      rdaddress => adr_b,
126
      q         => ram_rd_dat_b
127
    );
128
  END GENERATE;
129
 
130
  -- read output
131
  u_pipe_a : ENTITY common_components_lib.common_pipeline
132
  GENERIC MAP (
133
    g_pipeline   => c_pipeline,
134
    g_in_dat_w   => g_ram.dat_w,
135
    g_out_dat_w  => g_ram.dat_w
136
  )
137
  PORT MAP (
138
    clk     => clk_a,
139
    clken   => clken_a,
140
    in_dat  => ram_rd_dat_a,
141
    out_dat => rd_dat_a
142
  );
143
 
144
  u_pipe_b : ENTITY common_components_lib.common_pipeline
145
  GENERIC MAP (
146
    g_pipeline   => c_pipeline,
147
    g_in_dat_w   => g_ram.dat_w,
148
    g_out_dat_w  => g_ram.dat_w
149
  )
150
  PORT MAP (
151
    clk     => clk_b,
152
    clken   => clken_b,
153
    in_dat  => ram_rd_dat_b,
154
    out_dat => rd_dat_b
155
  );
156
 
157
  -- rd_val control
158
  ram_rd_en_a(0) <= rd_en_a;
159
  ram_rd_en_b(0) <= rd_en_b;
160
 
161
  rd_val_a <= ram_rd_val_a(0);
162
  rd_val_b <= ram_rd_val_b(0);
163
 
164
  u_rd_val_a : ENTITY common_components_lib.common_pipeline
165
  GENERIC MAP (
166
    g_pipeline   => g_ram.latency,
167
    g_in_dat_w   => 1,
168
    g_out_dat_w  => 1
169
  )
170
  PORT MAP (
171
    clk     => clk_a,
172
    clken   => clken_a,
173
    in_dat  => ram_rd_en_a,
174
    out_dat => ram_rd_val_a
175
  );
176
 
177
  u_rd_val_b : ENTITY common_components_lib.common_pipeline
178
  GENERIC MAP (
179
    g_pipeline   => g_ram.latency,
180
    g_in_dat_w   => 1,
181
    g_out_dat_w  => 1
182
  )
183
  PORT MAP (
184
    clk     => clk_b,
185
    clken   => clken_b,
186
    in_dat  => ram_rd_en_b,
187
    out_dat => ram_rd_val_b
188
  );
189
 
190
END str;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.